Skip to main content
Erschienen in: Wireless Personal Communications 3/2021

27.08.2020

Exact Distribution of the Max/Min of Two Correlated Random Variables

verfasst von: Y. Zhang, S. Nadarajah

Erschienen in: Wireless Personal Communications | Ausgabe 3/2021

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Statistical static timing analysis involves the distributions of the maximum and minimum of correlated random variables. Nadarajah and Kotz (IEEE Trans Very Large Scale Integr Syst 16:210–2012, 2008) derived closed form expressions for the distributions when the random variables are Gaussian. Here, we extend the work when the random variables follow a wide range of non-Gaussian distributions.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Abbaspour, S., Fatemi, H., & Pedram, M. (2006). Parameterized block-based non-Gaussian statistical gate timing analysis. In Proceedings of the Asia and South Pacific Conference on Design Automation (p. 6). Abbaspour, S., Fatemi, H., & Pedram, M. (2006). Parameterized block-based non-Gaussian statistical gate timing analysis. In Proceedings of the Asia and South Pacific Conference on Design Automation (p. 6).
2.
Zurück zum Zitat Abulafia, Y., & Kornfeld, A. (2005). Estimation of FMAX and ISB in microprocessors. IEEE Transactions on Very Large Scale Integration Systems, 13, 1205–1209.CrossRef Abulafia, Y., & Kornfeld, A. (2005). Estimation of FMAX and ISB in microprocessors. IEEE Transactions on Very Large Scale Integration Systems, 13, 1205–1209.CrossRef
3.
Zurück zum Zitat Balakrishnan, N., & Lai, C. D. (2009). Continuous bivariate distributions. New York: Springer.MATH Balakrishnan, N., & Lai, C. D. (2009). Continuous bivariate distributions. New York: Springer.MATH
4.
Zurück zum Zitat Baker, A.M. (2013). Max operation in statistical static timing analysis on the non-Gaussian variation sources for VLSI circuits. PhD Thesis, Department of Electrical and Computer Engineering, University of Nevada, Las Vegas, USA. Baker, A.M. (2013). Max operation in statistical static timing analysis on the non-Gaussian variation sources for VLSI circuits. PhD Thesis, Department of Electrical and Computer Engineering, University of Nevada, Las Vegas, USA.
5.
Zurück zum Zitat Baker, A. M., & Jiang, Y. (2013). Modeling and architectural simulations of the statistical static timing analysis of the non-Gaussian variation. International Journal of Scientific and Research Publications, 3, 1–7. Baker, A. M., & Jiang, Y. (2013). Modeling and architectural simulations of the statistical static timing analysis of the non-Gaussian variation. International Journal of Scientific and Research Publications, 3, 1–7.
6.
Zurück zum Zitat Cao, Y., Huang, X. J., Chang, N. H., et al. (2002). Effective on-chip inductance modeling for multiple signal lines and application to repeater insertion. IEEE Transactions on Very Large Scale Integration Systems, 10, 799–805.CrossRef Cao, Y., Huang, X. J., Chang, N. H., et al. (2002). Effective on-chip inductance modeling for multiple signal lines and application to repeater insertion. IEEE Transactions on Very Large Scale Integration Systems, 10, 799–805.CrossRef
7.
Zurück zum Zitat Cao, Y., Yang, X. D., Huang, X. J., et al. (2005). Switch-factor based loop RLC modeling for efficient timing analysis. IEEE Transactions on Very Large Scale Integration Systems, 13, 1072–1078.CrossRef Cao, Y., Yang, X. D., Huang, X. J., et al. (2005). Switch-factor based loop RLC modeling for efficient timing analysis. IEEE Transactions on Very Large Scale Integration Systems, 13, 1072–1078.CrossRef
8.
Zurück zum Zitat Chang, H., Zolotov, V., Narayan, S., & Visweswariah, C. (2005). Parameterized block-based statistical timing analysis with non-Gaussian parameters, nonlinear delay functions. In Proceedings of the 42nd Annual Design Automation Conference (pp. 71–76). Chang, H., Zolotov, V., Narayan, S., & Visweswariah, C. (2005). Parameterized block-based statistical timing analysis with non-Gaussian parameters, nonlinear delay functions. In Proceedings of the 42nd Annual Design Automation Conference (pp. 71–76).
9.
Zurück zum Zitat Cheng, L., Gong, F., Xu, W., Xiong, J., He, L., & Sarrafzadeh, M. (2012). Fourier series approximation for max operation in non-Gaussian and quadratic statistical static timing analysis. IEEE Transactions on Very Large Scale Integration Systems, 20, 1383–1391.CrossRef Cheng, L., Gong, F., Xu, W., Xiong, J., He, L., & Sarrafzadeh, M. (2012). Fourier series approximation for max operation in non-Gaussian and quadratic statistical static timing analysis. IEEE Transactions on Very Large Scale Integration Systems, 20, 1383–1391.CrossRef
10.
Zurück zum Zitat Cheng, L., Xiong, J., & He, L. (2007). Non-linear statistical static timing analysis for non-Gaussian variation sources. In Proceedings of the 44th Annual Design Automation Conference (pp. 250–255). Cheng, L., Xiong, J., & He, L. (2007). Non-linear statistical static timing analysis for non-Gaussian variation sources. In Proceedings of the 44th Annual Design Automation Conference (pp. 250–255).
11.
Zurück zum Zitat Chuang, C.Y., & Mak, W.K. (2009). Accurate closed-form parameterized block-based statistical timing analysis applying skew-normal distribution. In Proceedings of the 10th International Symposium on Quality Electronic Design (pp. 68–73). Chuang, C.Y., & Mak, W.K. (2009). Accurate closed-form parameterized block-based statistical timing analysis applying skew-normal distribution. In Proceedings of the 10th International Symposium on Quality Electronic Design (pp. 68–73).
12.
Zurück zum Zitat Ding, P. (2016). On the conditional distribution of the multivariate \(t\) distribution. The American Statistician, 70, 293–295.MathSciNetCrossRef Ding, P. (2016). On the conditional distribution of the multivariate \(t\) distribution. The American Statistician, 70, 293–295.MathSciNetCrossRef
13.
Zurück zum Zitat Eriksson, H., Larsson-Edefors, P., & Eckerbert, D. (2006). Toward architecture-based test-vector generation for timing verification of fast parallel multipliers. IEEE Transactions on Very Large Scale Integration Systems, 14, 370–379.CrossRef Eriksson, H., Larsson-Edefors, P., & Eckerbert, D. (2006). Toward architecture-based test-vector generation for timing verification of fast parallel multipliers. IEEE Transactions on Very Large Scale Integration Systems, 14, 370–379.CrossRef
14.
Zurück zum Zitat Gradshteyn, I. S., & Ryzhik, I. M. (2000). Table of integrals, series, and products (6th ed.). San Diego: Academic Press.MATH Gradshteyn, I. S., & Ryzhik, I. M. (2000). Table of integrals, series, and products (6th ed.). San Diego: Academic Press.MATH
15.
Zurück zum Zitat Homma, K., Nitta, I., & Shibuya, T. (2008). Non-Gaussian statistical timing models of die-to-die and within-die parameter variations for full chip analysis. In Proceedings of the 2008 Asia and South Pacific Design Automation Conference (pp. 292–297). Homma, K., Nitta, I., & Shibuya, T. (2008). Non-Gaussian statistical timing models of die-to-die and within-die parameter variations for full chip analysis. In Proceedings of the 2008 Asia and South Pacific Design Automation Conference (pp. 292–297).
16.
Zurück zum Zitat Imai, M., Sato, T., Nakayama, N., & Masu, K. (2008). Non-parametric statistical static timing analysis: An SSTA framework for arbitrary distribution. In Proceedings of the 45th Annual Design Automation Conference (pp. 698–701). Imai, M., Sato, T., Nakayama, N., & Masu, K. (2008). Non-parametric statistical static timing analysis: An SSTA framework for arbitrary distribution. In Proceedings of the 45th Annual Design Automation Conference (pp. 698–701).
17.
Zurück zum Zitat Kotz, S., & Nadarajah, S. (2004). Multivariate t distributions and their applications. Cambridge: Cambridge University Press.CrossRef Kotz, S., & Nadarajah, S. (2004). Multivariate t distributions and their applications. Cambridge: Cambridge University Press.CrossRef
18.
19.
Zurück zum Zitat Nadarajah, S., & Kotz, S. (2008). Exact distribution of the max/min of two Gaussian random variables. IEEE Transactions on Very Large Scale Integration Systems, 16, 210–212.CrossRef Nadarajah, S., & Kotz, S. (2008). Exact distribution of the max/min of two Gaussian random variables. IEEE Transactions on Very Large Scale Integration Systems, 16, 210–212.CrossRef
20.
Zurück zum Zitat Nelsen, R. B. (2006). An introduction to copulas (2nd ed.). New York: Springer.MATH Nelsen, R. B. (2006). An introduction to copulas (2nd ed.). New York: Springer.MATH
21.
Zurück zum Zitat Oh, C. H., & Mercer, M. R. (1996). Efficient logic-level timing analysis using constraint-guided critical path search. IEEE Transactions on Very Large Scale Integration Systems, 4, 346–355.CrossRef Oh, C. H., & Mercer, M. R. (1996). Efficient logic-level timing analysis using constraint-guided critical path search. IEEE Transactions on Very Large Scale Integration Systems, 4, 346–355.CrossRef
22.
Zurück zum Zitat Orshansky, M., & Bandyopadhyay, A. (2004). Fast statistical timing analysis handling arbitrary delay correlations. In Proceedings of the 41st Annual Design Automation Conference (pp. 337–342). Orshansky, M., & Bandyopadhyay, A. (2004). Fast statistical timing analysis handling arbitrary delay correlations. In Proceedings of the 41st Annual Design Automation Conference (pp. 337–342).
23.
Zurück zum Zitat Prudnikov, A. P., Brychkov, Y. A., & Marichev, O. I. (1986). Integrals and series, volumes 1, 2 and 3. Amsterdam: Gordon and Breach Science Publishers.MATH Prudnikov, A. P., Brychkov, Y. A., & Marichev, O. I. (1986). Integrals and series, volumes 1, 2 and 3. Amsterdam: Gordon and Breach Science Publishers.MATH
24.
Zurück zum Zitat Ramprasath, S., Vijaykumar, M., & Vasudevan, V. (2016). A skew-normal canonical model for statistical static timing analysis. IEEE Transactions on Very Large Scale Integration Systems, 24, 2359–2368.CrossRef Ramprasath, S., Vijaykumar, M., & Vasudevan, V. (2016). A skew-normal canonical model for statistical static timing analysis. IEEE Transactions on Very Large Scale Integration Systems, 24, 2359–2368.CrossRef
25.
Zurück zum Zitat Shaw, W. T., & Buckley I. R. C. (2009). The alchemy of probability distributions: Beyond Gram-Charlier expansions, and a skew-kurtotic-normal distribution from a rank transmutation map. arXiv:0901.0434v1 Shaw, W. T., & Buckley I. R. C. (2009). The alchemy of probability distributions: Beyond Gram-Charlier expansions, and a skew-kurtotic-normal distribution from a rank transmutation map. arXiv:​0901.​0434v1
26.
Zurück zum Zitat Singh, J., & Sapatnekar, S. (2006). Statistical timing analysis with correlated non-Gaussian parameters using independent component analysis. In Proceedings of the 43rd ACM/IEEE Design Automation Conference (pp. 155–160). Singh, J., & Sapatnekar, S. (2006). Statistical timing analysis with correlated non-Gaussian parameters using independent component analysis. In Proceedings of the 43rd ACM/IEEE Design Automation Conference (pp. 155–160).
27.
Zurück zum Zitat Taskin, B., & Kourtev, I. S. (2004). Linearization of the timing analysis and optimization of level-sensitive digital synchronous circuits. IEEE Transactions on Very Large Scale Integration Systems, 12, 12–27.CrossRef Taskin, B., & Kourtev, I. S. (2004). Linearization of the timing analysis and optimization of level-sensitive digital synchronous circuits. IEEE Transactions on Very Large Scale Integration Systems, 12, 12–27.CrossRef
28.
Zurück zum Zitat Tsukiyama, S., & Fukui, M. (2013). A new delay distribution model with a half triangular distribution for statistical static timing analysis. IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, E96–A, 2542–2552.CrossRef Tsukiyama, S., & Fukui, M. (2013). A new delay distribution model with a half triangular distribution for statistical static timing analysis. IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, E96–A, 2542–2552.CrossRef
29.
Zurück zum Zitat Valentian, A., Thomas, O., Vladimirescu, A., et al. (2004). Modeling subthreshold SOI logic for static timing analysis. IEEE Transactions on Very Large Scale Integration Systems, 12, 662–668.CrossRef Valentian, A., Thomas, O., Vladimirescu, A., et al. (2004). Modeling subthreshold SOI logic for static timing analysis. IEEE Transactions on Very Large Scale Integration Systems, 12, 662–668.CrossRef
30.
Zurück zum Zitat Vijayabhasker, V., & Purushotham Naik, R. (2014). Static timing analysis of the non-Gaussian variation sources for VLSI circuits. International Journal of Computer Science and Mobile Applications, 2, 53–57. Vijayabhasker, V., & Purushotham Naik, R. (2014). Static timing analysis of the non-Gaussian variation sources for VLSI circuits. International Journal of Computer Science and Mobile Applications, 2, 53–57.
31.
Zurück zum Zitat Vijaykumar, M., & Vasudevan, V. (2014). Statistical static timing analysis using a skew-normal canonical delay model. In Proceedings of the Conference on Design, Automation and Test in Europe, Article No. 258. Vijaykumar, M., & Vasudevan, V. (2014). Statistical static timing analysis using a skew-normal canonical delay model. In Proceedings of the Conference on Design, Automation and Test in Europe, Article No. 258.
32.
Zurück zum Zitat Zhan, Y., Strojwas, A.J., Li, X., Pileggi, L.T., Newmark, D., & Sharma, M. (2005). Correlation-aware statistical timing analysis with non-Gaussian delay distributions. In Proceedings of the 42nd Design Automation Conference (pp. 77–82). Zhan, Y., Strojwas, A.J., Li, X., Pileggi, L.T., Newmark, D., & Sharma, M. (2005). Correlation-aware statistical timing analysis with non-Gaussian delay distributions. In Proceedings of the 42nd Design Automation Conference (pp. 77–82).
33.
Zurück zum Zitat Zhang, L., Chen, W., Hu, Y., Gubner, J.A., & Chen, C.C.P. (2005). Correlation-preserved non-Gaussian statistical timing analysis with quadratic timing model. In Proceedings of the 42nd Annual Design Automation Conference (pp. 83–88). Zhang, L., Chen, W., Hu, Y., Gubner, J.A., & Chen, C.C.P. (2005). Correlation-preserved non-Gaussian statistical timing analysis with quadratic timing model. In Proceedings of the 42nd Annual Design Automation Conference (pp. 83–88).
34.
Zurück zum Zitat Zjajo, A., Tang, Q., Berkelaar, M., & van der Meijs, N. (2011). Accuracy consideration of a non-Gaussian interconnect delay model for submicron CMOS statistical static timing analysis. In Proceedings of the IEEE 4th International Nanoelectronics Conference (pp. 1–2). Zjajo, A., Tang, Q., Berkelaar, M., & van der Meijs, N. (2011). Accuracy consideration of a non-Gaussian interconnect delay model for submicron CMOS statistical static timing analysis. In Proceedings of the IEEE 4th International Nanoelectronics Conference (pp. 1–2).
Metadaten
Titel
Exact Distribution of the Max/Min of Two Correlated Random Variables
verfasst von
Y. Zhang
S. Nadarajah
Publikationsdatum
27.08.2020
Verlag
Springer US
Erschienen in
Wireless Personal Communications / Ausgabe 3/2021
Print ISSN: 0929-6212
Elektronische ISSN: 1572-834X
DOI
https://doi.org/10.1007/s11277-020-07750-z

Weitere Artikel der Ausgabe 3/2021

Wireless Personal Communications 3/2021 Zur Ausgabe

Neuer Inhalt