Skip to main content

2019 | OriginalPaper | Buchkapitel

20. Hardware Security and Trust: Logic Locking as a Design-for-Trust Solution

verfasst von : Muhammad Yasin, Bodhisatwa Mazumdar, Jeyavijayan Rajendran, Ozgur Sinanoglu

Erschienen in: The IoT Physical Layer

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Ever-increasing design complexity and the skyrocketing cost of setting up a foundry have led to the globalization of the integrated circuit (IC) supply chain. A globalized and distributed IC supply fosters security threats such as reverse engineering, piracy, and hardware Trojans, and forces the stakeholders to revisit the trust at various steps in the IC design and fabrication flow. Among the ensemble of solutions proposed to address hardware-related trust issues, logic locking has gained significant interest from the research community. A series of defense techniques and attacks have been developed over the past few years. This chapter presents a comprehensive survey of recent research efforts in the field of logic locking. The emphasis is on the subtle difference between the logic locking attacks/countermeasures in terms of the threat models employed and strengths/vulnerabilities of existing logic locking techniques.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Fußnoten
1
Researchers have also used the terms “logic obfuscation” [23] and “logic encryption” [22, 26] for this purpose.
 
Literatur
1.
Zurück zum Zitat A. Baumgarten, A. Tyagi, J. Zambreno, Preventing IC piracy using reconfigurable logic barriers. IEEE Des. Test Comput. 27(1), 66–75 (2010)CrossRef A. Baumgarten, A. Tyagi, J. Zambreno, Preventing IC piracy using reconfigurable logic barriers. IEEE Des. Test Comput. 27(1), 66–75 (2010)CrossRef
3.
Zurück zum Zitat S. Bhunia, M.S. Hsiao, M. Banga, S. Narasimhan, Hardware trojan attacks: threat analysis and countermeasures. Proc. IEEE 102(8), 1229–1247 (2014)CrossRef S. Bhunia, M.S. Hsiao, M. Banga, S. Narasimhan, Hardware trojan attacks: threat analysis and countermeasures. Proc. IEEE 102(8), 1229–1247 (2014)CrossRef
5.
Zurück zum Zitat M.L. Bushnell, V.D. Agrawal, Essentials of Electronic Testing for Digital, Memory, and Mixed-Signal VLSI Circuits (Kluwer Academic Publishers, Boston, 2000). ISBN 9780792379911 M.L. Bushnell, V.D. Agrawal, Essentials of Electronic Testing for Digital, Memory, and Mixed-Signal VLSI Circuits (Kluwer Academic Publishers, Boston, 2000). ISBN 9780792379911
6.
Zurück zum Zitat A.E. Caldwell, H.J. Choi, A.B. Kahng, S. Mantik, M. Potkonjak, G. Qu, J.L. Wong, Effective iterative techniques for fingerprinting design IP, in Proceedings of the IEEE/ACM Design Automation Conference, 1999, pp. 843–848 A.E. Caldwell, H.J. Choi, A.B. Kahng, S. Mantik, M. Potkonjak, G. Qu, J.L. Wong, Effective iterative techniques for fingerprinting design IP, in Proceedings of the IEEE/ACM Design Automation Conference, 1999, pp. 843–848
7.
Zurück zum Zitat R.S. Chakraborty, S. Bhunia, HARPOON: an obfuscation-based SoC design methodology for hardware protection. IEEE Trans. Comput-Aided Design Integr. Circuits Syst. 28(10), 1493–1502 (2009)CrossRef R.S. Chakraborty, S. Bhunia, HARPOON: an obfuscation-based SoC design methodology for hardware protection. IEEE Trans. Comput-Aided Design Integr. Circuits Syst. 28(10), 1493–1502 (2009)CrossRef
8.
Zurück zum Zitat R.S. Chakraborty, S. Bhunia, Security against Hardware Trojan through a novel application of design obfuscation, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2009, pp. 113–116 R.S. Chakraborty, S. Bhunia, Security against Hardware Trojan through a novel application of design obfuscation, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2009, pp. 113–116
10.
Zurück zum Zitat B. Colombier, L. Bossuet, Survey of hardware protection of design data for integrated circuits and intellectual properties. IET Comput. Digit. Techniq. 8(6), 274–287 (2014)CrossRef B. Colombier, L. Bossuet, Survey of hardware protection of design data for integrated circuits and intellectual properties. IET Comput. Digit. Techniq. 8(6), 274–287 (2014)CrossRef
11.
Zurück zum Zitat S. Dupuis, P. Ba, G.D. Natale, M. Flottes, B. Rouzeyre, A novel hardware logic encryption technique for thwarting illegal overproduction and hardware Trojans, in Proceedings of the IEEE International On-Line Testing Symposium, 2014, pp. 49–54 S. Dupuis, P. Ba, G.D. Natale, M. Flottes, B. Rouzeyre, A novel hardware logic encryption technique for thwarting illegal overproduction and hardware Trojans, in Proceedings of the IEEE International On-Line Testing Symposium, 2014, pp. 49–54
12.
Zurück zum Zitat K.M. Goertzel, B. Hamilton, Integrated circuit security threats and hardware assurance countermeasures. CrossTalk (2013), p. 33 K.M. Goertzel, B. Hamilton, Integrated circuit security threats and hardware assurance countermeasures. CrossTalk (2013), p. 33
13.
Zurück zum Zitat O. Goldreich, Foundations of Cryptography. Basic Tools, vol. 1 (Cambridge University Press, 2001). ISBN 978-0521035361 O. Goldreich, Foundations of Cryptography. Basic Tools, vol. 1 (Cambridge University Press, 2001). ISBN 978-0521035361
14.
Zurück zum Zitat U. Guin, K. Huang, D. DiMase, J.M. Carulli, M. Tehranipoor, Y. Makris, Counterfeit integrated circuits: a rising threat in the global semiconductor supply chain. Proc. IEEE 102(8), 1207–1228 (2014)CrossRef U. Guin, K. Huang, D. DiMase, J.M. Carulli, M. Tehranipoor, Y. Makris, Counterfeit integrated circuits: a rising threat in the global semiconductor supply chain. Proc. IEEE 102(8), 1207–1228 (2014)CrossRef
15.
Zurück zum Zitat R.W. Jarvis, M.G. McIntyre, Split Manufacturing Method for Advanced Semiconductor Circuits. US Patent 7,195,931 (2007) R.W. Jarvis, M.G. McIntyre, Split Manufacturing Method for Advanced Semiconductor Circuits. US Patent 7,195,931 (2007)
16.
Zurück zum Zitat A.B. Kahng, J. Lach, W.H. Mangione-Smith, S. Mantik, I.L. Markov, M. Potkonjak, P. Tucker, H. Wang, G. Wolfe, Watermarking techniques for intellectual property protection, in Proceedings of the IEEE/ACM Design Automation Conference, 1998, pp 776–781 A.B. Kahng, J. Lach, W.H. Mangione-Smith, S. Mantik, I.L. Markov, M. Potkonjak, P. Tucker, H. Wang, G. Wolfe, Watermarking techniques for intellectual property protection, in Proceedings of the IEEE/ACM Design Automation Conference, 1998, pp 776–781
17.
Zurück zum Zitat R. Karri, J. Rajendran, K. Rosenfeld, M. Tehranipoor, Trustworthy hardware: identifying and classifying hardware Trojans. Computer 43(10), 39–46 (2010)CrossRef R. Karri, J. Rajendran, K. Rosenfeld, M. Tehranipoor, Trustworthy hardware: identifying and classifying hardware Trojans. Computer 43(10), 39–46 (2010)CrossRef
18.
Zurück zum Zitat P. Kocher, J. Jaffe, B. Jun, Differential power analysis, in Advances in Cryptology (Springer, 1999), pp. 388–397 P. Kocher, J. Jaffe, B. Jun, Differential power analysis, in Advances in Cryptology (Springer, 1999), pp. 388–397
19.
Zurück zum Zitat F. Koushanfar, Integrated circuits metering for piracy protection and digital rights management: an overview, in Proceedings of the Great Lakes Symposium on VLSI, 2011, pp. 449–454 F. Koushanfar, Integrated circuits metering for piracy protection and digital rights management: an overview, in Proceedings of the Great Lakes Symposium on VLSI, 2011, pp. 449–454
20.
Zurück zum Zitat Y.W. Lee, N. Touba, Improving logic obfuscation via logic cone analysis, in Proceedings of the Latin-American Test Symposium, 2015, pp. 1–6 Y.W. Lee, N. Touba, Improving logic obfuscation via logic cone analysis, in Proceedings of the Latin-American Test Symposium, 2015, pp. 1–6
21.
Zurück zum Zitat S.M. Plaza, I.L. Markov, Solving the third-shift problem in IC piracy with test-aware logic locking. IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. 34(6), 961–971 (2015)CrossRef S.M. Plaza, I.L. Markov, Solving the third-shift problem in IC piracy with test-aware logic locking. IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. 34(6), 961–971 (2015)CrossRef
22.
Zurück zum Zitat J. Rajendran, Y. Pino, O. Sinanoglu, R. Karri, Logic encryption: a fault analysis perspective, Proceedings Design, Automation and Test in Europe, 2012, pp. 953–958 J. Rajendran, Y. Pino, O. Sinanoglu, R. Karri, Logic encryption: a fault analysis perspective, Proceedings Design, Automation and Test in Europe, 2012, pp. 953–958
23.
Zurück zum Zitat J. Rajendran, Y. Pino, O. Sinanoglu, R. Karri, Security analysis of logic obfuscation, in Proceedings of the IEEE/ACM Design Automation Conference, 2012, pp. 83–89 J. Rajendran, Y. Pino, O. Sinanoglu, R. Karri, Security analysis of logic obfuscation, in Proceedings of the IEEE/ACM Design Automation Conference, 2012, pp. 83–89
24.
Zurück zum Zitat J. Rajendran, M. Sam, O. Sinanoglu, R. Karri, Security Analysis of integrated circuit camouflaging, in Proceedings of the ACM/SIGSAC Conference on Computer & Communications Security, 2013, pp. 709–720 J. Rajendran, M. Sam, O. Sinanoglu, R. Karri, Security Analysis of integrated circuit camouflaging, in Proceedings of the ACM/SIGSAC Conference on Computer & Communications Security, 2013, pp. 709–720
25.
Zurück zum Zitat J. Rajendran, O. Sinanoglu, R. Karri, Regaining trust in VLSI design: design-for-trust techniques. Proc. IEEE 102(8), 1266–1282 (2014)CrossRef J. Rajendran, O. Sinanoglu, R. Karri, Regaining trust in VLSI design: design-for-trust techniques. Proc. IEEE 102(8), 1266–1282 (2014)CrossRef
26.
Zurück zum Zitat J. Rajendran, H. Zhang, C. Zhang, G. Rose, Y. Pino, O. Sinanoglu, R. Karri, Fault analysis-based logic encryption. IEEE Trans. Comput. 64(2), 410–424 (2015)MathSciNetCrossRef J. Rajendran, H. Zhang, C. Zhang, G. Rose, Y. Pino, O. Sinanoglu, R. Karri, Fault analysis-based logic encryption. IEEE Trans. Comput. 64(2), 410–424 (2015)MathSciNetCrossRef
28.
Zurück zum Zitat M. Rostami, F. Koushanfar, R. Karri, A primer on hardware security: models, methods, and metrics. Proc. IEEE 102(8), 1283–1295 (2014)CrossRef M. Rostami, F. Koushanfar, R. Karri, A primer on hardware security: models, methods, and metrics. Proc. IEEE 102(8), 1283–1295 (2014)CrossRef
29.
Zurück zum Zitat J. Roy, F. Koushanfar, I.L. Markov, EPIC: ending piracy of integrated circuits, in Proceedings Design, Automation and Test in Europe, 2008, pp. 1069–1074 J. Roy, F. Koushanfar, I.L. Markov, EPIC: ending piracy of integrated circuits, in Proceedings Design, Automation and Test in Europe, 2008, pp. 1069–1074
30.
Zurück zum Zitat J.A. Roy, F. Koushanfar, I.L. Markov, Ending piracy of integrated circuits. Computer 43(10), 30–38 (2010)CrossRef J.A. Roy, F. Koushanfar, I.L. Markov, Ending piracy of integrated circuits. Computer 43(10), 30–38 (2010)CrossRef
32.
Zurück zum Zitat K. Shamsi, M. Li, T. Meade, Z. Zhao, D.Z. Pan, Y. Jin, Cyclic obfuscation for creating sat-unresolvable circuits, in Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017, ACM, pp. 173–178 K. Shamsi, M. Li, T. Meade, Z. Zhao, D.Z. Pan, Y. Jin, Cyclic obfuscation for creating sat-unresolvable circuits, in Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017, ACM, pp. 173–178
33.
Zurück zum Zitat K. Shamsi, M. Li, T. Meade, Z. Zhao, D.Z. Pan, Y. Jin, AppSAT: approximately deobfuscating integrated circuits, in IEEE International Symposium on Hardware Oriented Security and Trust, 2017, pp. 95–100 K. Shamsi, M. Li, T. Meade, Z. Zhao, D.Z. Pan, Y. Jin, AppSAT: approximately deobfuscating integrated circuits, in IEEE International Symposium on Hardware Oriented Security and Trust, 2017, pp. 95–100
35.
Zurück zum Zitat P. Subramanyan, S. Ray, S. Malik, Evaluating the security of logic encryption algorithms, in Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, pp. 137–143 P. Subramanyan, S. Ray, S. Malik, Evaluating the security of logic encryption algorithms, in Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, pp. 137–143
36.
Zurück zum Zitat R. Torrance, D. James, The State-of-the-Art in semiconductor reverse engineering, in Proceedings of the IEEE/ACM Design Automation Conference, 2011, pp. 333–338 R. Torrance, D. James, The State-of-the-Art in semiconductor reverse engineering, in Proceedings of the IEEE/ACM Design Automation Conference, 2011, pp. 333–338
37.
Zurück zum Zitat Y. Xie, A. Srivastava, Mitigating SAT attack on logic locking. IACR Cryptology ePrint Archive 2016, 590 (2016) Y. Xie, A. Srivastava, Mitigating SAT attack on logic locking. IACR Cryptology ePrint Archive 2016, 590 (2016)
38.
39.
Zurück zum Zitat M. Yasin, O. Sinanoglu, Evolution of logic locking, in 2017 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), 2017, pp. 1–6 M. Yasin, O. Sinanoglu, Evolution of logic locking, in 2017 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), 2017, pp. 1–6
40.
Zurück zum Zitat M. Yasin, B. Mazumdar, S.S. Ali, O. Sinanoglu, Security analysis of logic encryption against the most effective side-channel attack: DPA, in Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015, pp. 97–102 M. Yasin, B. Mazumdar, S.S. Ali, O. Sinanoglu, Security analysis of logic encryption against the most effective side-channel attack: DPA, in Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015, pp. 97–102
41.
Zurück zum Zitat M. Yasin, B. Mazumdar, J. Rajendran, O. Sinanoglu, SARLock: SAT attack resistant logic locking, in IEEE International Symposium on Hardware Oriented Security and Trust, 2016, pp. 236–241 M. Yasin, B. Mazumdar, J. Rajendran, O. Sinanoglu, SARLock: SAT attack resistant logic locking, in IEEE International Symposium on Hardware Oriented Security and Trust, 2016, pp. 236–241
43.
Zurück zum Zitat M. Yasin, J. Rajendran, O. Sinanoglu, R. Karri, On Improving the security of logic locking. IEEE Trans. CAD of Integr. Circuits Syst. (2016) M. Yasin, J. Rajendran, O. Sinanoglu, R. Karri, On Improving the security of logic locking. IEEE Trans. CAD of Integr. Circuits Syst. (2016)
44.
Zurück zum Zitat M. Yasin, S.M. Saeed, J. Rajendran, O. Sinanoglu, Activation of logic encrypted chips: pre-test or post-test?, in Proceedings Design, Automation Test in Europe, 2016, pp. 139–144 M. Yasin, S.M. Saeed, J. Rajendran, O. Sinanoglu, Activation of logic encrypted chips: pre-test or post-test?, in Proceedings Design, Automation Test in Europe, 2016, pp. 139–144
45.
Zurück zum Zitat M. Yasin, B. Mazumdar, O. Sinanoglu, J. Rajendran, Removal attacks on logic locking and camouflaging techniques. IEEE Trans. Emerg. Topics Comput. 99(0) (2017) M. Yasin, B. Mazumdar, O. Sinanoglu, J. Rajendran, Removal attacks on logic locking and camouflaging techniques. IEEE Trans. Emerg. Topics Comput. 99(0) (2017)
46.
Zurück zum Zitat M. Yasin, A. Sengupta, M.T. Nabeel, M. Ashraf, J.J. Rajendran, O. Sinanoglu, Provably-secure logic locking: from theory to practice, in Proceedings of the ACM SIGSAC Conference on Computer and Communications Security, 2017, pp. 1601–1618 M. Yasin, A. Sengupta, M.T. Nabeel, M. Ashraf, J.J. Rajendran, O. Sinanoglu, Provably-secure logic locking: from theory to practice, in Proceedings of the ACM SIGSAC Conference on Computer and Communications Security, 2017, pp. 1601–1618
47.
Zurück zum Zitat M. Yasin, A. Sengupta, B.C. Schafer, Y. Makris, O. Sinanoglu, J.J. Rajendran, What to lock?: functional and parametric locking, in Great Lakes Symposium on VLSI, 2017, pp. 351–356 M. Yasin, A. Sengupta, B.C. Schafer, Y. Makris, O. Sinanoglu, J.J. Rajendran, What to lock?: functional and parametric locking, in Great Lakes Symposium on VLSI, 2017, pp. 351–356
Metadaten
Titel
Hardware Security and Trust: Logic Locking as a Design-for-Trust Solution
verfasst von
Muhammad Yasin
Bodhisatwa Mazumdar
Jeyavijayan Rajendran
Ozgur Sinanoglu
Copyright-Jahr
2019
DOI
https://doi.org/10.1007/978-3-319-93100-5_20

Neuer Inhalt