Skip to main content
Erschienen in:
Buchtitelbild

2017 | OriginalPaper | Buchkapitel

Improving the Performance of Adaptive Cache in Reconfigurable VLIW Processor

verfasst von : Sensen Hu, Anthony Brandon, Qi Guo, Yizhuo Wang

Erschienen in: Applied Reconfigurable Computing

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

In this paper, we study the impact of cache reconfiguration on the cache misses when the issue-width of a VLIW processor is changed. We clearly note here that our investigation pertains the local temporal effects of the cache resizing and how we counteract the negative impact of cache misses in such resizing instances. We propose a novel reconfigurable d-cache framework that can dynamically adapt its least recently used (LRU) replacement policy without much hardware overhead. We demonstrate that using our adaptive d-cache, it ensures a smooth cache performance from one cache size to the other. This approach is orthogonal to future research in cache resizing for such architectures that take into account energy consumption and performance of the overall application.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Anjam, F., Wong, S., et al.: Simultaneous reconfiguration of issue-width and instruction cache for a VLIW processor. In: Embedded Computer Systems (SAMOS) (2012) Anjam, F., Wong, S., et al.: Simultaneous reconfiguration of issue-width and instruction cache for a VLIW processor. In: Embedded Computer Systems (SAMOS) (2012)
2.
Zurück zum Zitat Zang, W., Gordon-Ross, A.: A survey on cache tuning from a power/energy perspective. ACM Comput. Surv. 45(3), 32 (2013)CrossRef Zang, W., Gordon-Ross, A.: A survey on cache tuning from a power/energy perspective. ACM Comput. Surv. 45(3), 32 (2013)CrossRef
3.
Zurück zum Zitat Keramidas, G., Datsios, C.: Revisiting cache resizing. Int. J. Parallel Program. 43(1), 59–85 (2015)CrossRef Keramidas, G., Datsios, C.: Revisiting cache resizing. Int. J. Parallel Program. 43(1), 59–85 (2015)CrossRef
4.
Zurück zum Zitat Yang, S., Powell, M., et al.: Exploiting choice in resizable cache design to optimize deep-submicron processor energy-delay. In: High Performance Computer Architecture (2002) Yang, S., Powell, M., et al.: Exploiting choice in resizable cache design to optimize deep-submicron processor energy-delay. In: High Performance Computer Architecture (2002)
5.
Zurück zum Zitat Mittal, S., Zhang, Z.: EnCache: improving cache energy efficiency using a software-controlled profiling cache. IEEE EIT (2012) Mittal, S., Zhang, Z.: EnCache: improving cache energy efficiency using a software-controlled profiling cache. IEEE EIT (2012)
6.
Zurück zum Zitat Beckmann, N., Sanchez, D.: Talus: a simple way to remove cliffs in cache performance. In: High Performance, Computer Architecture (HPCA) (2015) Beckmann, N., Sanchez, D.: Talus: a simple way to remove cliffs in cache performance. In: High Performance, Computer Architecture (HPCA) (2015)
7.
Zurück zum Zitat Wong, S., Van As, T., et al.: p-VEX: a reconfigurable and extensible softcore VLIW processor. In: FPT 2008 (2008) Wong, S., Van As, T., et al.: p-VEX: a reconfigurable and extensible softcore VLIW processor. In: FPT 2008 (2008)
8.
Zurück zum Zitat Anjam, F., Nadeem, M., et al.: Targeting code diversity with run-time adjustable issue-slots in a chip multiprocessor. In: Design, Automation and Test in Europe Conference Exhibition (DATE) (2011) Anjam, F., Nadeem, M., et al.: Targeting code diversity with run-time adjustable issue-slots in a chip multiprocessor. In: Design, Automation and Test in Europe Conference Exhibition (DATE) (2011)
9.
Zurück zum Zitat Brandon, A., Wong, S.: Support for dynamic issue width in VLIW processors using generic binaries. In: Design, Automation Test in Europe Conference Exhibition (DATE) (2013) Brandon, A., Wong, S.: Support for dynamic issue width in VLIW processors using generic binaries. In: Design, Automation Test in Europe Conference Exhibition (DATE) (2013)
10.
Zurück zum Zitat Kharbutli, M., Sheikh, R.: LACS: a locality-aware cost-sensitive cache replacement algorithm. IEEE Trans. Comput. 63, 1975–1987 (2014)MathSciNetCrossRef Kharbutli, M., Sheikh, R.: LACS: a locality-aware cost-sensitive cache replacement algorithm. IEEE Trans. Comput. 63, 1975–1987 (2014)MathSciNetCrossRef
11.
Zurück zum Zitat Guthaus, M., Ringenberg, J., et al.: MiBench: a free, commercially representative embedded benchmark suite. In: 2001 IEEE International Workshop on Workload Characterization, WWC-4, December 2001 Guthaus, M., Ringenberg, J., et al.: MiBench: a free, commercially representative embedded benchmark suite. In: 2001 IEEE International Workshop on Workload Characterization, WWC-4, December 2001
12.
Zurück zum Zitat Hill, M., Edler, J.: Dineroiv trace-driven uniprocessor cache simulator (2015) Hill, M., Edler, J.: Dineroiv trace-driven uniprocessor cache simulator (2015)
13.
Zurück zum Zitat Guo, Q., Sartor, A., et al.: Run-time phase prediction for a reconfigurable vliw processor. Design, Automation Test in Europe Conference Exhibition (DATE) (2016) Guo, Q., Sartor, A., et al.: Run-time phase prediction for a reconfigurable vliw processor. Design, Automation Test in Europe Conference Exhibition (DATE) (2016)
Metadaten
Titel
Improving the Performance of Adaptive Cache in Reconfigurable VLIW Processor
verfasst von
Sensen Hu
Anthony Brandon
Qi Guo
Yizhuo Wang
Copyright-Jahr
2017
DOI
https://doi.org/10.1007/978-3-319-56258-2_1

Neuer Inhalt