Skip to main content
Erschienen in:
Buchtitelbild

2016 | OriginalPaper | Buchkapitel

1. Introduction

verfasst von : Juan Pablo Borja, Toh-Ming Lu, Joel Plawsky

Erschienen in: Dielectric Breakdown in Gigascale Electronics

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Dielectric breakdown in a solid film is characterized as the irreversible loss of the material’s local dielectric insulation property. Failure originates when the dielectric is subjected to electrical stress beyond a critical point. In general, dielectric breakdown mechanisms in amorphous films can be categorized as either intrinsic or extrinsic in nature (He and Sun, High-k gate dielectrics for CMOS technology, 2012, p.166). Intrinsic failure corresponds to damage caused by the transport of electrons across the dielectric matrix, which eventually degrades the material and causes it to exceed its innate limit. Extrinsic failure corresponds to a breakdown accelerated by flaws stemming from the transport of foreign species across the dielectric film. Extrinsic failure occurs on a much faster timescale than intrinsic breakdown. Some of the most common causes of extrinsic failure are metal atoms, ions, and moisture. These foreign species are the result of manufacturing process steps and instabilities in metal/dielectric interfaces (He and Lu, Metal-Dielectric Interfaces in Gigascale Electronics Thermal and Electrical Stability, 2012, p.127).

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
Zurück zum Zitat Achanta, R. S., Gill, W. N., & Plawsky, J. L. (2008). Copper ion drift in integrated circuits: Effect of boundary conditions on reliability and breakdown of low-κ dielectrics. Journal of Applied Physics, 103(1), 014907–014907.6.CrossRef Achanta, R. S., Gill, W. N., & Plawsky, J. L. (2008). Copper ion drift in integrated circuits: Effect of boundary conditions on reliability and breakdown of low-κ dielectrics. Journal of Applied Physics, 103(1), 014907–014907.6.CrossRef
Zurück zum Zitat Achanta, R. S., Plawsky, J. L., & Gill, W. N. (2007). A time dependent dielectric breakdown model for field accelerated low-κ breakdown due to copper ions. Applied Physics Letters, 91(23), 234106–234106.3.CrossRef Achanta, R. S., Plawsky, J. L., & Gill, W. N. (2007). A time dependent dielectric breakdown model for field accelerated low-κ breakdown due to copper ions. Applied Physics Letters, 91(23), 234106–234106.3.CrossRef
Zurück zum Zitat Adcock, W., & Walker, J. S. (1962). Semiconductor networks. Microelectronics Reliability, 1(1), 81–95.CrossRef Adcock, W., & Walker, J. S. (1962). Semiconductor networks. Microelectronics Reliability, 1(1), 81–95.CrossRef
Zurück zum Zitat Allers, K. H. (2004). Prediction of dielectric reliability from I-V characteristics: Poole–Frenkel conduction mechanism leading to E1/2 model for silicon nitride MIM capacitor. Microelectronics Reliability, 44(3), 411–423.CrossRef Allers, K. H. (2004). Prediction of dielectric reliability from I-V characteristics: Poole–Frenkel conduction mechanism leading to E1/2 model for silicon nitride MIM capacitor. Microelectronics Reliability, 44(3), 411–423.CrossRef
Zurück zum Zitat Chen, F., Bravo, O., Chanda, K., McLaughlin, P., Sullivan, T., Gill, J., … Aitken, J. (2006, March). A comprehensive study of low-κ SiCOH TDDB phenomena and its reliability lifetime model development. In 44th Annual, IEEE International Reliability Physics Symposium Proceedings, 2006 (pp. 46–53). IEEE, Piscataway, NJ. Chen, F., Bravo, O., Chanda, K., McLaughlin, P., Sullivan, T., Gill, J., … Aitken, J. (2006, March). A comprehensive study of low-κ SiCOH TDDB phenomena and its reliability lifetime model development. In 44th Annual, IEEE International Reliability Physics Symposium Proceedings, 2006 (pp. 46–53). IEEE, Piscataway, NJ.
Zurück zum Zitat Chen, I. C., Holland, S. E., & Hu, C. (1985a). Electrical breakdown in thin gate and tunneling oxides. IEEE Journal of Solid-State Circuits, 20(1), 333–342.CrossRef Chen, I. C., Holland, S. E., & Hu, C. (1985a). Electrical breakdown in thin gate and tunneling oxides. IEEE Journal of Solid-State Circuits, 20(1), 333–342.CrossRef
Zurück zum Zitat Chen, I. C., Holland, S., & Hut, C. (1985b). A quantitative physical model for time-dependent breakdown in SiO2. In 23rd Annual Reliability Physics Symposium, 1985 (pp. 24–31). IEEE, Piscataway, NJ. Chen, I. C., Holland, S., & Hut, C. (1985b). A quantitative physical model for time-dependent breakdown in SiO2. In 23rd Annual Reliability Physics Symposium, 1985 (pp. 24–31). IEEE, Piscataway, NJ.
Zurück zum Zitat Crook, D. L. (1979, April). Method of determining reliability screens for time dependent dielectric breakdown. In 17th Annual Reliability Physics Symposium, 1979 (pp. 1–7). IEEE, Piscataway, NJ. Crook, D. L. (1979, April). Method of determining reliability screens for time dependent dielectric breakdown. In 17th Annual Reliability Physics Symposium, 1979 (pp. 1–7). IEEE, Piscataway, NJ.
Zurück zum Zitat Davidsohn, U. S., & Lee, F. (1969). Dielectric isolated integrated circuit substrate processes. Proceedings of the IEEE, 57(9), 1532–1537.CrossRef Davidsohn, U. S., & Lee, F. (1969). Dielectric isolated integrated circuit substrate processes. Proceedings of the IEEE, 57(9), 1532–1537.CrossRef
Zurück zum Zitat Dearnaley, G., Stoneham, A. M., & Morgan, D. V. (1970). Electrical phenomena in amorphous oxide films. Reports on Progress in Physics, 33(3), 1129–1191.CrossRef Dearnaley, G., Stoneham, A. M., & Morgan, D. V. (1970). Electrical phenomena in amorphous oxide films. Reports on Progress in Physics, 33(3), 1129–1191.CrossRef
Zurück zum Zitat DiStefano, T. H. (1973). Dielectric breakdown induced by sodium in MOS structures. Journal of Applied Physics, 44(1), 527–528.CrossRef DiStefano, T. H. (1973). Dielectric breakdown induced by sodium in MOS structures. Journal of Applied Physics, 44(1), 527–528.CrossRef
Zurück zum Zitat DiStefano, T. H., & Lewis, J. E. (1974). The influence of sodium on the Si-SiO2 interface. Journal of Vacuum Science and Technology, 11(6), 1020–1024.CrossRef DiStefano, T. H., & Lewis, J. E. (1974). The influence of sodium on the Si-SiO2 interface. Journal of Vacuum Science and Technology, 11(6), 1020–1024.CrossRef
Zurück zum Zitat DiStefano, T. H., & Shatzkes, M. (1974). Impact ionization model for dielectric instability and breakdown. Applied Physics Letters, 25(12), 685–687.CrossRef DiStefano, T. H., & Shatzkes, M. (1974). Impact ionization model for dielectric instability and breakdown. Applied Physics Letters, 25(12), 685–687.CrossRef
Zurück zum Zitat DiStefano, T. H., & Shatzkes, M. (1976). Dielectric instability and breakdown in SiO2 thin films. Journal of Vacuum Science and Technology, 13(1), 50–54.CrossRef DiStefano, T. H., & Shatzkes, M. (1976). Dielectric instability and breakdown in SiO2 thin films. Journal of Vacuum Science and Technology, 13(1), 50–54.CrossRef
Zurück zum Zitat Edelstein, D., Heidenreich, J., Goldblatt, R., Cote, W., Uzoh, C., Lustig, N., … Slattery, J. (1997). Full copper wiring in a sub-0.25/spl mu/m CMOS ULSI technology. In International Electron Devices Meeting, 1997. IEDM'97. Technical Digest (pp. 773–776). IEEE, Piscataway, NJ. Edelstein, D., Heidenreich, J., Goldblatt, R., Cote, W., Uzoh, C., Lustig, N., … Slattery, J. (1997). Full copper wiring in a sub-0.25/spl mu/m CMOS ULSI technology. In International Electron Devices Meeting, 1997. IEDM'97. Technical Digest (pp. 773–776). IEEE, Piscataway, NJ.
Zurück zum Zitat Fayolle, M., Torres, J., Passemard, G., Fusalba, F., Fanget, G., Louis, D., … Feldis, H. (2002). Integration of Cu/SiOC in Cu dual damascene interconnect for 0.1-μm technology. Microelectronic Engineering, 64(1), 35–42. Fayolle, M., Torres, J., Passemard, G., Fusalba, F., Fanget, G., Louis, D., … Feldis, H. (2002). Integration of Cu/SiOC in Cu dual damascene interconnect for 0.1-μm technology. Microelectronic Engineering, 64(1), 35–42.
Zurück zum Zitat Forlani, F., & Minnaja, N. (1964). Thickness influence in breakdown phenomena of thin dielectric films. Physica Status Solidi (b), 4(2), 311–324.CrossRef Forlani, F., & Minnaja, N. (1964). Thickness influence in breakdown phenomena of thin dielectric films. Physica Status Solidi (b), 4(2), 311–324.CrossRef
Zurück zum Zitat Forlani, F., & Minnaja, N. (1969). Electrical breakdown in thin dielectric films. Journal of Vacuum Science and Technology, 6(4), 518–526.CrossRef Forlani, F., & Minnaja, N. (1969). Electrical breakdown in thin dielectric films. Journal of Vacuum Science and Technology, 6(4), 518–526.CrossRef
Zurück zum Zitat Fröhlich, H. (1939). Dielectric breakdown in solids. Reports on Progress in Physics, 6(1), 411–430.CrossRef Fröhlich, H. (1939). Dielectric breakdown in solids. Reports on Progress in Physics, 6(1), 411–430.CrossRef
Zurück zum Zitat Fröhlich, H. (1947). On the theory of dielectric breakdown in solids. Proceedings of the Royal Society of London. Series A, Mathematical and Physical Sciences, 188(1015), 521–532.CrossRef Fröhlich, H. (1947). On the theory of dielectric breakdown in solids. Proceedings of the Royal Society of London. Series A, Mathematical and Physical Sciences, 188(1015), 521–532.CrossRef
Zurück zum Zitat Fröhlich, H., & Paranjape, B. V. (1956). Dielectric breakdown in solids. Proceedings of the Physical Society. Section B, 69(1), 21–32.CrossRef Fröhlich, H., & Paranjape, B. V. (1956). Dielectric breakdown in solids. Proceedings of the Physical Society. Section B, 69(1), 21–32.CrossRef
Zurück zum Zitat Haase, G. S. (2009). A model for electric degradation of interconnect low-κ dielectrics in microelectronic integrated circuits. Journal of Applied Physics, 105(4), 044908–044908.10.CrossRef Haase, G. S. (2009). A model for electric degradation of interconnect low-κ dielectrics in microelectronic integrated circuits. Journal of Applied Physics, 105(4), 044908–044908.10.CrossRef
Zurück zum Zitat He, M., & Lu, T. (2012). Metal-dielectric interfaces in Gigascale electronics thermal and electrical stability. New York, NY: Springer.CrossRef He, M., & Lu, T. (2012). Metal-dielectric interfaces in Gigascale electronics thermal and electrical stability. New York, NY: Springer.CrossRef
Zurück zum Zitat He, G., & Sun, Z. (2012). High-κ gate dielectrics for CMOS technology. Weinheim: Wiley-VCH.CrossRef He, G., & Sun, Z. (2012). High-κ gate dielectrics for CMOS technology. Weinheim: Wiley-VCH.CrossRef
Zurück zum Zitat Keshav, S. (2005). Why cell phones will dominate the future internet. ACM SIGCOMM Computer Communication Review, 35(2), 83–86.CrossRef Keshav, S. (2005). Why cell phones will dominate the future internet. ACM SIGCOMM Computer Communication Review, 35(2), 83–86.CrossRef
Zurück zum Zitat Lessor, A. E., Maissel, L. I., & Thun, R. E. (1964). Thin-film circuit technology: Part I—thin-film RC networks. IEEE Spectrum, 1(4), 72–80.CrossRef Lessor, A. E., Maissel, L. I., & Thun, R. E. (1964). Thin-film circuit technology: Part I—thin-film RC networks. IEEE Spectrum, 1(4), 72–80.CrossRef
Zurück zum Zitat McAfee, A., & Brynjolfsson, E. (2012). Big data: The management revolution. Harvard Business Review, 90(10), 60–68. McAfee, A., & Brynjolfsson, E. (2012). Big data: The management revolution. Harvard Business Review, 90(10), 60–68.
Zurück zum Zitat McPherson, J. W., & Mogul, H. C. (1998). Underlying physics of the thermochemical E model in describing low-field time-dependent dielectric breakdown in SiO2 thin films. Journal of Applied Physics, 84(3), 1513–1523.CrossRef McPherson, J. W., & Mogul, H. C. (1998). Underlying physics of the thermochemical E model in describing low-field time-dependent dielectric breakdown in SiO2 thin films. Journal of Applied Physics, 84(3), 1513–1523.CrossRef
Zurück zum Zitat O’Dwyer, J. J. (1967). The theory of avalanche breakdown in solid dielectrics. Journal of Physics and Chemistry of Solids, 28(7), 1137–1144.CrossRef O’Dwyer, J. J. (1967). The theory of avalanche breakdown in solid dielectrics. Journal of Physics and Chemistry of Solids, 28(7), 1137–1144.CrossRef
Zurück zum Zitat O’Dwyer, J. J. (1969). Theory of dielectric breakdown in solids. Journal of the Electrochemical Society, 116(2), 239–242.CrossRef O’Dwyer, J. J. (1969). Theory of dielectric breakdown in solids. Journal of the Electrochemical Society, 116(2), 239–242.CrossRef
Zurück zum Zitat Ogawa, E. T., Kim, J., Haase, G. S., Mogul, H. C., & McPherson, J. W. (2003, December). Leakage, breakdown, and TDDB characteristics of porous low-κ silica-based interconnect dielectrics. In 41st Annual 2003 I.E. International Reliability physics symposium proceedings, 2003 (pp. 166–172). IEEE, Piscataway, NJ. Ogawa, E. T., Kim, J., Haase, G. S., Mogul, H. C., & McPherson, J. W. (2003, December). Leakage, breakdown, and TDDB characteristics of porous low-κ silica-based interconnect dielectrics. In 41st Annual 2003 I.E. International Reliability physics symposium proceedings, 2003 (pp. 166–172). IEEE, Piscataway, NJ.
Zurück zum Zitat Osburn, C. M., & Chou, N. J. (1973). Accelerated dielectric breakdown of silicon dioxide films. Journal of the Electrochemical Society, 120(10), 1377–1384.CrossRef Osburn, C. M., & Chou, N. J. (1973). Accelerated dielectric breakdown of silicon dioxide films. Journal of the Electrochemical Society, 120(10), 1377–1384.CrossRef
Zurück zum Zitat Osburn, C. M., & Ormond, D. W. (1972). Dielectric breakdown in silicon dioxide films on silicon I. Measurement and interpretation. Journal of the Electrochemical Society, 119(5), 591–597.CrossRef Osburn, C. M., & Ormond, D. W. (1972). Dielectric breakdown in silicon dioxide films on silicon I. Measurement and interpretation. Journal of the Electrochemical Society, 119(5), 591–597.CrossRef
Zurück zum Zitat Osburn, C. M., & Weitzman, E. J. (1972). Electrical conduction and dielectric breakdown in silicon dioxide films on silicon. Journal of the Electrochemical Society, 119(5), 603–609.CrossRef Osburn, C. M., & Weitzman, E. J. (1972). Electrical conduction and dielectric breakdown in silicon dioxide films on silicon. Journal of the Electrochemical Society, 119(5), 603–609.CrossRef
Zurück zum Zitat Rosenberg, R., Edelstein, D. C., Hu, C. K., & Rodbell, K. P. (2000). Copper metallization for high performance silicon technology. Annual Review of Materials Science, 30(1), 229–262.CrossRef Rosenberg, R., Edelstein, D. C., Hu, C. K., & Rodbell, K. P. (2000). Copper metallization for high performance silicon technology. Annual Review of Materials Science, 30(1), 229–262.CrossRef
Zurück zum Zitat Schnable, G. L., & Keen, R. S. (1969a). Failure mechanisms in large-scale integrated circuits. IEEE Transactions on Electron Devices, 16(4), 322–332.CrossRef Schnable, G. L., & Keen, R. S. (1969a). Failure mechanisms in large-scale integrated circuits. IEEE Transactions on Electron Devices, 16(4), 322–332.CrossRef
Zurück zum Zitat Schnable, G. L., & Keen, R. S. (1969b). Aluminum metallization—advantages and limitations for integrated circuit applications. Proceedings of the IEEE, 57(9), 1570–1580.CrossRef Schnable, G. L., & Keen, R. S. (1969b). Aluminum metallization—advantages and limitations for integrated circuit applications. Proceedings of the IEEE, 57(9), 1570–1580.CrossRef
Zurück zum Zitat Shacham‐Diamand, Y., Dedhia, A., Hoffstetter, D., & Oldham, W. G. (1993). Copper transport in thermal SiO2. Journal of the Electrochemical Society, 140(8), 2427–2432.CrossRef Shacham‐Diamand, Y., Dedhia, A., Hoffstetter, D., & Oldham, W. G. (1993). Copper transport in thermal SiO2. Journal of the Electrochemical Society, 140(8), 2427–2432.CrossRef
Zurück zum Zitat Suzumura, N., Yamamoto, S., Kodama, D., Makabe, K., Komori, J., Murakami, E., … Kubota, K. (2006, March). A new TDDB degradation model based on Cu ion drift in Cu interconnect dielectrics. In 44th Annual IEEE International Reliability Physics Symposium Proceedings, 2006 (pp. 484–489). IEEE, Piscataway, NJ. Suzumura, N., Yamamoto, S., Kodama, D., Makabe, K., Komori, J., Murakami, E., … Kubota, K. (2006, March). A new TDDB degradation model based on Cu ion drift in Cu interconnect dielectrics. In 44th Annual IEEE International Reliability Physics Symposium Proceedings, 2006 (pp. 484–489). IEEE, Piscataway, NJ.
Zurück zum Zitat Von Hippel, A. (1946). C. Breakdown. Electric breakdown of solid dielectrics. Transactions of the Faraday Society, 42, A078–A087. Von Hippel, A. (1946). C. Breakdown. Electric breakdown of solid dielectrics. Transactions of the Faraday Society, 42, A078–A087.
Zurück zum Zitat Von Hippel, A., & Maurer, R. J. (1941). Electric breakdown of glasses and crystals as a function of temperature. Physical Review, 59(10), 820–823.CrossRef Von Hippel, A., & Maurer, R. J. (1941). Electric breakdown of glasses and crystals as a function of temperature. Physical Review, 59(10), 820–823.CrossRef
Zurück zum Zitat Wu, E. Y., Vayshenker, A., Nowak, E., Sune, J., Vollertsen, R. P., Lai, W., & Harmon, D. (2002). Experimental evidence of TBD power-law for voltage dependence of oxide breakdown in ultrathin gate oxides. IEEE Transactions on Electron Devices, 49(12), 2244–2253.CrossRef Wu, E. Y., Vayshenker, A., Nowak, E., Sune, J., Vollertsen, R. P., Lai, W., & Harmon, D. (2002). Experimental evidence of TBD power-law for voltage dependence of oxide breakdown in ultrathin gate oxides. IEEE Transactions on Electron Devices, 49(12), 2244–2253.CrossRef
Zurück zum Zitat Zener, C. (1934). A theory of the electrical breakdown of solid dielectrics. Proceedings of the Royal Society of London. Series A, Containing Papers of a Mathematical and Physical Character, 145(885), 523–529.CrossRef Zener, C. (1934). A theory of the electrical breakdown of solid dielectrics. Proceedings of the Royal Society of London. Series A, Containing Papers of a Mathematical and Physical Character, 145(885), 523–529.CrossRef
Metadaten
Titel
Introduction
verfasst von
Juan Pablo Borja
Toh-Ming Lu
Joel Plawsky
Copyright-Jahr
2016
DOI
https://doi.org/10.1007/978-3-319-43220-5_1

Neuer Inhalt