Skip to main content

2013 | OriginalPaper | Buchkapitel

High-Performance Computing Based on High-Speed Dynamic Reconfiguration

verfasst von : Minoru Watanabe

Erschienen in: High-Performance Computing Using FPGAs

Verlag: Springer New York

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Currently, demand for implementing all systems including a processor, a peripheral circuit, and a dedicated circuit onto a field programmable gate array (FPGA) is gaining. However, related to the demand, an important issue is that soft-core processors implemented on FPGAs have lower performance than custom processors or FPGA’s hard-core processors. Such low performance of soft-core processors on FPGAs is attributable to their look-up table (LUT) and Switching Matrix (SM) architectures. Therefore, under current implementation, such a soft-core processor cannot be used to produce a high-performance system. Instead, a custom processor or an FPGA’s hard-core processor must be implemented onto the system along with an FPGA. However, if the FPGA’s programmability can be exploited fully, then the performance of soft-core processors and circuits on its programmable gate array can be increased. The key technology is a high-speed dynamic reconfiguration. Therefore, this chapter introduces a new soft-core processor architecture called Mono-Instruction Set Computer (MISC) architecture as high-performance computing based on high-speed dynamic reconfiguration.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
3.
Zurück zum Zitat J. Perez Acle, M.S. Reorda, M. Violante, Implementing a safe embedded computing system in SRAM-based FPGAs using IP cores: a case study based on the Altera NIOS-II soft processor, in IEEE Second Latin American Symposium on Circuits and Systems, 2011, pp. 1–5 J. Perez Acle, M.S. Reorda, M. Violante, Implementing a safe embedded computing system in SRAM-based FPGAs using IP cores: a case study based on the Altera NIOS-II soft processor, in IEEE Second Latin American Symposium on Circuits and Systems, 2011, pp. 1–5
4.
Zurück zum Zitat O.A. Al Rayahi, M.A.S. Khalid, UWindsor Nios II: a soft-core processor for design space exploration, in IEEE International Conference on Electro/Information Technology, 2009, pp. 451–457 O.A. Al Rayahi, M.A.S. Khalid, UWindsor Nios II: a soft-core processor for design space exploration, in IEEE International Conference on Electro/Information Technology, 2009, pp. 451–457
5.
Zurück zum Zitat R.H. Klenke, Experiences Using the Xilinx Microblaze softcore processor and uCLinux in computer engineering capstone senior design projects, in IEEE International Conference on Microelectronic Systems Education, 2007, pp. 123–124 R.H. Klenke, Experiences Using the Xilinx Microblaze softcore processor and uCLinux in computer engineering capstone senior design projects, in IEEE International Conference on Microelectronic Systems Education, 2007, pp. 123–124
6.
Zurück zum Zitat A. Klimm, O. Sander, J. Becker, A MicroBlaze specific co-processor for real-time hyperelliptic curve cryptography on Xilinx FPGAs, in IEEE International Symposium on Parallel & Distributed Processing, 2009, pp. 1–8 A. Klimm, O. Sander, J. Becker, A MicroBlaze specific co-processor for real-time hyperelliptic curve cryptography on Xilinx FPGAs, in IEEE International Symposium on Parallel & Distributed Processing, 2009, pp. 1–8
7.
Zurück zum Zitat D. Deleganes, J. Douglas, B. Kommandur, M. Patyra, Designing a 3 GHz, 130 nm, IntelR PentiumR 4 processor, in Symposium on VLSI Circuits Digest of Technical Papers, 2002, pp. 130–133 D. Deleganes, J. Douglas, B. Kommandur, M. Patyra, Designing a 3 GHz, 130 nm, IntelR PentiumR 4 processor, in Symposium on VLSI Circuits Digest of Technical Papers, 2002, pp. 130–133
8.
Zurück zum Zitat R. Ali, R. Radhakrishnan, G. Kochhar, J. Hsieh, O. Celebioglu, K. Chadalavada, R. Rajagopalan, Evaluating performance of BLAST on Intel Xeon and Itanium2 processors, in IEEE International Workshop on Workload Characterization, 2004, pp. 81–88 R. Ali, R. Radhakrishnan, G. Kochhar, J. Hsieh, O. Celebioglu, K. Chadalavada, R. Rajagopalan, Evaluating performance of BLAST on Intel Xeon and Itanium2 processors, in IEEE International Workshop on Workload Characterization, 2004, pp. 81–88
9.
Zurück zum Zitat T.G. Mattson, R. Van der Wijngaart, M. Frumkin, Programming the Intel 80-core network-on-a-chip Terascale processor, in International Conference for High Performance Computing, Networking, Storage and Analysis, 2008, pp. 1–11 T.G. Mattson, R. Van der Wijngaart, M. Frumkin, Programming the Intel 80-core network-on-a-chip Terascale processor, in International Conference for High Performance Computing, Networking, Storage and Analysis, 2008, pp. 1–11
10.
Zurück zum Zitat G. Yeung, P. Hoxey, P. Prabhat, Y.K. Chong, D. O’Driscoll, C. Hawkins, Low power memory implementation for a GHz+ Dual Core ARM Cortex A9 processor on a high-K metal gate 32nm low power process, in International Symposium on VLSI Design, Automation and Test, 2011, pp. 1–4 G. Yeung, P. Hoxey, P. Prabhat, Y.K. Chong, D. O’Driscoll, C. Hawkins, Low power memory implementation for a GHz+ Dual Core ARM Cortex A9 processor on a high-K metal gate 32nm low power process, in International Symposium on VLSI Design, Automation and Test, 2011, pp. 1–4
11.
Zurück zum Zitat T. Neagoe, E. Karjala, L. Banica, Why ARM processors are the best choice for embedded low-power applications? in IEEE 16th International Symposium for Design and Technology in Electronic Packaging (SIITME), 2010, pp. 253–258 T. Neagoe, E. Karjala, L. Banica, Why ARM processors are the best choice for embedded low-power applications? in IEEE 16th International Symposium for Design and Technology in Electronic Packaging (SIITME), 2010, pp. 253–258
12.
Zurück zum Zitat D. Zhou, F.P. Preparata, S.M. Kang, Interconnection delay in very high-speed VLSI. IEEE Trans. Circ. Syst. 38(7), 779–790 (1991)CrossRef D. Zhou, F.P. Preparata, S.M. Kang, Interconnection delay in very high-speed VLSI. IEEE Trans. Circ. Syst. 38(7), 779–790 (1991)CrossRef
13.
Zurück zum Zitat Y. Wang, L. Wang, H. Ruonan, T. Jiarong, A delay model for SRAM-Based FPGA interconnections, in IEEE International Midwest Symposium on Circuits and Systems, vol. 2, 2006, pp. 79–83 Y. Wang, L. Wang, H. Ruonan, T. Jiarong, A delay model for SRAM-Based FPGA interconnections, in IEEE International Midwest Symposium on Circuits and Systems, vol. 2, 2006, pp. 79–83
14.
Zurück zum Zitat G.R. Allen, G.M. Swift, G. Miller, Upset characterization and test methodology of the PowerPC405 hard-core processor embedded in Xilinx field programmable gate arrays, in IEEE Radiation Effects Data Workshop, vol. 0, 2007, pp. 167–171 G.R. Allen, G.M. Swift, G. Miller, Upset characterization and test methodology of the PowerPC405 hard-core processor embedded in Xilinx field programmable gate arrays, in IEEE Radiation Effects Data Workshop, vol. 0, 2007, pp. 167–171
16.
Zurück zum Zitat Y. Pizhou, L. Chaodong, A RISC CPU IP core, in International Conference on Anti-counterfeiting, Security and Identification, 2008, pp. 356–359 Y. Pizhou, L. Chaodong, A RISC CPU IP core, in International Conference on Anti-counterfeiting, Security and Identification, 2008, pp. 356–359
17.
Zurück zum Zitat J. Goodacre, A.N. Sloss, Parallelism and the ARM instruction set architecture. Computer 38(7) 42–50 (2005)CrossRef J. Goodacre, A.N. Sloss, Parallelism and the ARM instruction set architecture. Computer 38(7) 42–50 (2005)CrossRef
18.
19.
Zurück zum Zitat D.B. Tolley, Analysis of CISC versus RISC microprocessors for FDDI network interfaces, in Conference on Local Computer Networks, 1991, pp. 485–493 D.B. Tolley, Analysis of CISC versus RISC microprocessors for FDDI network interfaces, in Conference on Local Computer Networks, 1991, pp. 485–493
20.
Zurück zum Zitat M.F. Smith, B.E. Luff, Automatic assembler source translation from the Z80 to the MC6809. IEEE Micro 4(2), 3–9 (1984)CrossRef M.F. Smith, B.E. Luff, Automatic assembler source translation from the Z80 to the MC6809. IEEE Micro 4(2), 3–9 (1984)CrossRef
21.
Zurück zum Zitat M. Breternitz, J.P. Shen, Architecture synthesis of high-performance application-specific processors, in ACM/IEEE Design Automation Conference, 1990, pp. 542–548 M. Breternitz, J.P. Shen, Architecture synthesis of high-performance application-specific processors, in ACM/IEEE Design Automation Conference, 1990, pp. 542–548
22.
Zurück zum Zitat A. Sengupta, R. Sedaghat, Z. Zhipeng, Hardware efficient design of speed optimized power stringent application specific processor, in International Conference on Microelectronics (ICM), 2009, pp. 173–176 A. Sengupta, R. Sedaghat, Z. Zhipeng, Hardware efficient design of speed optimized power stringent application specific processor, in International Conference on Microelectronics (ICM), 2009, pp. 173–176
23.
Zurück zum Zitat D. Sheldon, R. Kumar, R. Lysecky, F. Vahid, D. Tullsen, Application-specific customization of parameterized fpga soft-core processors, in IEEE/ACM International Conference on Computer-Aided Design, 2006, pp. 261–268 D. Sheldon, R. Kumar, R. Lysecky, F. Vahid, D. Tullsen, Application-specific customization of parameterized fpga soft-core processors, in IEEE/ACM International Conference on Computer-Aided Design, 2006, pp. 261–268
24.
Zurück zum Zitat T. Good, M. Benaissa, Very small FPGA application-specific instruction processor for AES. IEEE Trans. Circ. Syst. I 53(7), 1477–1486 (2006)CrossRef T. Good, M. Benaissa, Very small FPGA application-specific instruction processor for AES. IEEE Trans. Circ. Syst. I 53(7), 1477–1486 (2006)CrossRef
25.
Zurück zum Zitat M. Watanabe, F. Kobayashi, Optically reconfigurable gate arrays vs. ASICs, in IEEE Asia Pacific Conference on Circuits and Systems, 2006, pp. 1166–1169 M. Watanabe, F. Kobayashi, Optically reconfigurable gate arrays vs. ASICs, in IEEE Asia Pacific Conference on Circuits and Systems, 2006, pp. 1166–1169
26.
Zurück zum Zitat F. Kobayashi, Y. Morikawa, M. Watanabe, MISC: mono instruction-set computer based on dynamic reconfiguration – A 6502 Perspective, in International Conference on Engineering of Reconfigurable Systems and Algorithms, 2008, pp. 222–228 F. Kobayashi, Y. Morikawa, M. Watanabe, MISC: mono instruction-set computer based on dynamic reconfiguration – A 6502 Perspective, in International Conference on Engineering of Reconfigurable Systems and Algorithms, 2008, pp. 222–228
27.
Zurück zum Zitat Y. Nihira, M, Watanabe, Mono-instruction computer on a dynamically reconfigurable gate array, in Workshop on Synthesis and System Integration of Mixed Information Technologies, 2012, pp. 66–70 Y. Nihira, M, Watanabe, Mono-instruction computer on a dynamically reconfigurable gate array, in Workshop on Synthesis and System Integration of Mixed Information Technologies, 2012, pp. 66–70
28.
Zurück zum Zitat T.P. Chueng, Z.M. Yusoff, A.Z. Sha’ameri, Implementation of pipelined data encryption standard (DES) using Altera CPLD, in TENCON, vol. 3, 2000, pp. 17–21 T.P. Chueng, Z.M. Yusoff, A.Z. Sha’ameri, Implementation of pipelined data encryption standard (DES) using Altera CPLD, in TENCON, vol. 3, 2000, pp. 17–21
29.
Zurück zum Zitat P. Sniatala, J. Pierzchlewski, A. Handkiewicz, B. Nowakowski, CPLD based development board for mixed signal chip testing, in International Conference on Mixed Design of Integrated Circuits and Systems, 2007, pp. 492–495 P. Sniatala, J. Pierzchlewski, A. Handkiewicz, B. Nowakowski, CPLD based development board for mixed signal chip testing, in International Conference on Mixed Design of Integrated Circuits and Systems, 2007, pp. 492–495
33.
Zurück zum Zitat R.J. Nejad, P.A. Rickey, K. Konadu, W.J. Stapor, P.T. McDonald, W. Heidergott, Radiation Characterization of a Hardened 0.22 μm anti-fuse field programmable gate array. IEEE Trans. Nucl. Sci. Part 1 53(6), 3525–3531 (2006) R.J. Nejad, P.A. Rickey, K. Konadu, W.J. Stapor, P.T. McDonald, W. Heidergott, Radiation Characterization of a Hardened 0.22 μm anti-fuse field programmable gate array. IEEE Trans. Nucl. Sci. Part 1 53(6), 3525–3531 (2006)
34.
Zurück zum Zitat J. Becker, M. Hubner, G. Hettich, R. Constapel, J. Eisenmann, J. Luka, Dynamic and partial FPGA exploitation. Proc. IEEE 95(2), 438–452 (2007)CrossRef J. Becker, M. Hubner, G. Hettich, R. Constapel, J. Eisenmann, J. Luka, Dynamic and partial FPGA exploitation. Proc. IEEE 95(2), 438–452 (2007)CrossRef
35.
Zurück zum Zitat E.J. Mcdonald, Runtime FPGA partial reconfiguration. IEEE Aero. Electron. Syst. Mag. 23(7), 10–15 (2008)CrossRef E.J. Mcdonald, Runtime FPGA partial reconfiguration. IEEE Aero. Electron. Syst. Mag. 23(7), 10–15 (2008)CrossRef
36.
Zurück zum Zitat H. Nakano, T. Shindo, T. Kazami, M. Motomura, Development of dynamically reconfigurable processor LSI. NEC Tech. J. (Jpn.) 56(4), 99–102 (2003) H. Nakano, T. Shindo, T. Kazami, M. Motomura, Development of dynamically reconfigurable processor LSI. NEC Tech. J. (Jpn.) 56(4), 99–102 (2003)
37.
Zurück zum Zitat H. Amano, Y. Hasegawa, S. Tsutsumi, T. Nakamura, T. Nishimura, V. Tanbunheng, A. Parimala, T. Sano, M. Kato, in IEEE Asian Solid-State Circuits Conference, 2007, pp. 384–387 H. Amano, Y. Hasegawa, S. Tsutsumi, T. Nakamura, T. Nishimura, V. Tanbunheng, A. Parimala, T. Sano, M. Kato, in IEEE Asian Solid-State Circuits Conference, 2007, pp. 384–387
38.
Zurück zum Zitat N. Miyamoto, T. Ohmi, A 1.6mm2 4,096 logic elements multi-context FPGA core in 90nm CMOS, in IEEE Asian Solid-State Circuits Conference, 2008, pp. 89–92 N. Miyamoto, T. Ohmi, A 1.6mm2 4,096 logic elements multi-context FPGA core in 90nm CMOS, in IEEE Asian Solid-State Circuits Conference, 2008, pp. 89–92
39.
Zurück zum Zitat M. Hariyama, S. Ogata, M. Kameyama, Y. Morita, Design of a multi-context FPGA using a floating-gate-MOS functional pass-gate, in Asian Solid-State Circuits Conference, 2005, pp. 421–424 M. Hariyama, S. Ogata, M. Kameyama, Y. Morita, Design of a multi-context FPGA using a floating-gate-MOS functional pass-gate, in Asian Solid-State Circuits Conference, 2005, pp. 421–424
40.
Zurück zum Zitat A. Dehon, Dynamically programmable gate arrays: a step toward increased computational density, in Fourth Canadian Workshop on Field Programmable Devices, 1996, pp. 47–54 A. Dehon, Dynamically programmable gate arrays: a step toward increased computational density, in Fourth Canadian Workshop on Field Programmable Devices, 1996, pp. 47–54
41.
Zurück zum Zitat S.M. Scalera, J.R.Vazquez, The design and implementation of a context switching FPGA, in IEEE Symposium on FPGAs for Custom Computing Machines, 1998, pp. 78–85 S.M. Scalera, J.R.Vazquez, The design and implementation of a context switching FPGA, in IEEE Symposium on FPGAs for Custom Computing Machines, 1998, pp. 78–85
42.
Zurück zum Zitat S. Trimberger et al., A time–multiplexed FPGA, in FCCM, 1997, pp. 22–28 S. Trimberger et al., A time–multiplexed FPGA, in FCCM, 1997, pp. 22–28
43.
Zurück zum Zitat D. Jones, D.M. Lewis, A time–multiplexed FPGA architecture for logic emulation, in Custom Integrated Circuits Conference, 1995, pp. 495–498 D. Jones, D.M. Lewis, A time–multiplexed FPGA architecture for logic emulation, in Custom Integrated Circuits Conference, 1995, pp. 495–498
44.
Zurück zum Zitat J. Mumbru, G. Panotopoulos, D. Psaltis, X. An, F. Mok, S. Ay, S. Barna, E. Fossum, Optically programmable gate array, in SPIE of Optics in Computing 2000, vol. 4089, 2000, pp. 763–771 J. Mumbru, G. Panotopoulos, D. Psaltis, X. An, F. Mok, S. Ay, S. Barna, E. Fossum, Optically programmable gate array, in SPIE of Optics in Computing 2000, vol. 4089, 2000, pp. 763–771
45.
Zurück zum Zitat J. Mumbru, G. Zhou, X. An, W. Liu, G. Panotopoulos, F. Mok, D. Psaltis, Optical memory for computing and information processing, in SPIE on Algorithms, Devices, and Systems for Optical Information Processing III, vol. 3804, 1999, pp. 14–24 J. Mumbru, G. Zhou, X. An, W. Liu, G. Panotopoulos, F. Mok, D. Psaltis, Optical memory for computing and information processing, in SPIE on Algorithms, Devices, and Systems for Optical Information Processing III, vol. 3804, 1999, pp. 14–24
46.
Zurück zum Zitat J. Mumbru, G. Zhou, S. Ay, X. An, G. Panotopoulos, F. Mok, D. Psaltis, Optically reconfigurable processors, in SPIE Critical Review 1999 Euro-American Workshop on Optoelectronic Information Processing, vol. 74, 1999, pp. 265–288 J. Mumbru, G. Zhou, S. Ay, X. An, G. Panotopoulos, F. Mok, D. Psaltis, Optically reconfigurable processors, in SPIE Critical Review 1999 Euro-American Workshop on Optoelectronic Information Processing, vol. 74, 1999, pp. 265–288
47.
Zurück zum Zitat M. Nakajima, M. Watanabe, A four-context optically differential reconfigurable gate array. J. Lightwave Tech. 27(20), 4460–4470 (2009)CrossRef M. Nakajima, M. Watanabe, A four-context optically differential reconfigurable gate array. J. Lightwave Tech. 27(20), 4460–4470 (2009)CrossRef
48.
Zurück zum Zitat M. Miyano, M. Watanabe, F. Kobayashi, Optically differential reconfigurable gate array. Electron. Comput. Jpn. Part II, 90(11), 132–139 (2007)CrossRef M. Miyano, M. Watanabe, F. Kobayashi, Optically differential reconfigurable gate array. Electron. Comput. Jpn. Part II, 90(11), 132–139 (2007)CrossRef
49.
Zurück zum Zitat M. Watanabe, T. Shiki, F. Kobayashi, Scaling prospect of optically differential reconfigurable gate array VLSIs. Analog Integr. Circ. Signal Process. 60(1–2), 137–143 (2009)CrossRef M. Watanabe, T. Shiki, F. Kobayashi, Scaling prospect of optically differential reconfigurable gate array VLSIs. Analog Integr. Circ. Signal Process. 60(1–2), 137–143 (2009)CrossRef
50.
Zurück zum Zitat M. Nakajima, M. Watanabe, A 13.75 ns holographic reconfiguration of an optically differential reconfigurable gate array, in International Conference on Intelligent Information Hiding and Multimedia Signal Processing, 2009, pp. 852–855 M. Nakajima, M. Watanabe, A 13.75 ns holographic reconfiguration of an optically differential reconfigurable gate array, in International Conference on Intelligent Information Hiding and Multimedia Signal Processing, 2009, pp. 852–855
51.
Zurück zum Zitat Y. Yamaji, M. Watanabe, A 144-configuration context MEMS optically reconfigurable gate array, in IEEE International SOC Conference, CD-ROM, 2011 Y. Yamaji, M. Watanabe, A 144-configuration context MEMS optically reconfigurable gate array, in IEEE International SOC Conference, CD-ROM, 2011
52.
Zurück zum Zitat H. Morita, M. Watanabe, Microelectromechanical configuration of an optically reconfigurable gate array. IEEE J. Quant. Electron. 46(9), 1288–1294 (2010)CrossRef H. Morita, M. Watanabe, Microelectromechanical configuration of an optically reconfigurable gate array. IEEE J. Quant. Electron. 46(9), 1288–1294 (2010)CrossRef
53.
Zurück zum Zitat M. Watanabe, F. Kobayashi, Dynamic optically reconfigurable gate array. Jpn. J. Appl. Phys. 45(4B), 3510–3515 (2006)CrossRef M. Watanabe, F. Kobayashi, Dynamic optically reconfigurable gate array. Jpn. J. Appl. Phys. 45(4B), 3510–3515 (2006)CrossRef
54.
Zurück zum Zitat M. Watanabe, F. Kobayashi, A 51,272-gate-count dynamic optically reconfigurable gate array in a standard 0.35um CMOS technology, in International Conference on Solid State Devices and Materials, 2005, pp. 336–337 M. Watanabe, F. Kobayashi, A 51,272-gate-count dynamic optically reconfigurable gate array in a standard 0.35um CMOS technology, in International Conference on Solid State Devices and Materials, 2005, pp. 336–337
55.
Zurück zum Zitat M. Watanabe, A dynamically reconfigurable device, in Advances in Solid State Circuit Technologies, Chap. 3, ed. by P.K Chu (INTECH, Rijeka, 2010) [ISBN:978-953-307-086-5] M. Watanabe, A dynamically reconfigurable device, in Advances in Solid State Circuit Technologies, Chap. 3, ed. by P.K Chu (INTECH, Rijeka, 2010) [ISBN:978-953-307-086-5]
56.
Zurück zum Zitat M. Nakajima, M. Watanabe, Fast optical reconfiguration of a nine-context DORGA using a speed adjustment control. ACM Trans. Reconfigurable Technol. Syst. 4(2) (2011) M. Nakajima, M. Watanabe, Fast optical reconfiguration of a nine-context DORGA using a speed adjustment control. ACM Trans. Reconfigurable Technol. Syst. 4(2) (2011)
57.
Zurück zum Zitat D. Seto, M. Watanabe, A dynamic optically reconfigurable gate array – Perfect emulation. IEEE J. Quant. Electron. 44(5), 493–500 (2008)CrossRef D. Seto, M. Watanabe, A dynamic optically reconfigurable gate array – Perfect emulation. IEEE J. Quant. Electron. 44(5), 493–500 (2008)CrossRef
58.
Zurück zum Zitat D. Seto, M. Nakajima, M. Watanabe, Dynamic optically reconfigurable gate array very large-scale integration with partial reconfiguration capability. Appl. Optic. 49(36), 6986–6994 (2010)CrossRef D. Seto, M. Nakajima, M. Watanabe, Dynamic optically reconfigurable gate array very large-scale integration with partial reconfiguration capability. Appl. Optic. 49(36), 6986–6994 (2010)CrossRef
59.
Zurück zum Zitat M. Toishi, T. Tanaka, K. Watanabe, K. Betsuyaku, Analysis of photopolymer media of holographic data storage using non-local polymerization driven diffusion model. Jpn. J. Appl. Phys. 46(6A), 3438–3447 (2007)CrossRef M. Toishi, T. Tanaka, K. Watanabe, K. Betsuyaku, Analysis of photopolymer media of holographic data storage using non-local polymerization driven diffusion model. Jpn. J. Appl. Phys. 46(6A), 3438–3447 (2007)CrossRef
60.
Zurück zum Zitat A. Pu, D. Psaltis, Holographic data storage with 100 bits/μm 2 density, in Optical Data Storage Topical Meeting Conference Digest, 1997, pp. 48–49 A. Pu, D. Psaltis, Holographic data storage with 100 bits/μm 2 density, in Optical Data Storage Topical Meeting Conference Digest, 1997, pp. 48–49
61.
Zurück zum Zitat G.W. Burr et al., Volume holographic data storage at an areal density of 100 Gbit/in 2, in Conference on Lasers and Electro-Optics, 2000, pp. 188–189 G.W. Burr et al., Volume holographic data storage at an areal density of 100 Gbit/in 2, in Conference on Lasers and Electro-Optics, 2000, pp. 188–189
62.
Zurück zum Zitat N. Yamaguchi, M. Watanabe, Liquid crystal holographic configurations for ORGAs. Appl. Optic. 47(28), 4692–4700 (2008)CrossRef N. Yamaguchi, M. Watanabe, Liquid crystal holographic configurations for ORGAs. Appl. Optic. 47(28), 4692–4700 (2008)CrossRef
65.
Zurück zum Zitat P.J. van Heerden, Theory of optical information storage in solids. Appl. Optic. 2(4), 393–400 (1963)CrossRef P.J. van Heerden, Theory of optical information storage in solids. Appl. Optic. 2(4), 393–400 (1963)CrossRef
66.
Zurück zum Zitat N. Butt et al., A 0.039 μm 2 high performance eDRAM cell based on 32nm high-K/Metal SOI technology, in IEEE International Electron Devices Meeting, 2010, pp. 27.5.1–27.5.4 N. Butt et al., A 0.039 μm 2 high performance eDRAM cell based on 32nm high-K/Metal SOI technology, in IEEE International Electron Devices Meeting, 2010, pp. 27.5.1–27.5.4
67.
Zurück zum Zitat T. Watanabe, M. Watanabe, A 16-laser array for an optically reconfigurable gate array, in IEEE International Conference on Space Optical Systems and Applications, 2011, pp. 255–260 T. Watanabe, M. Watanabe, A 16-laser array for an optically reconfigurable gate array, in IEEE International Conference on Space Optical Systems and Applications, 2011, pp. 255–260
68.
Zurück zum Zitat M. Watanabe, F. Kobayashi, A logic synthesis and place and route environment for ORGAs, in International Conference on Engineering of Reconfigurable Systems and Algorithms, 2006, pp. 237–238 M. Watanabe, F. Kobayashi, A logic synthesis and place and route environment for ORGAs, in International Conference on Engineering of Reconfigurable Systems and Algorithms, 2006, pp. 237–238
69.
Zurück zum Zitat D.K. Bhavsar, R.A. Davies, Scan Islands – A scan partitioning architecture and its implementation on the Alpha 21364 processor, in IEEE VLSI Test Symposium, 2002, pp. 16–21 D.K. Bhavsar, R.A. Davies, Scan Islands – A scan partitioning architecture and its implementation on the Alpha 21364 processor, in IEEE VLSI Test Symposium, 2002, pp. 16–21
70.
Zurück zum Zitat E. McLellan, The Alpha AXP architecture and 21064 processor. IEEE Micro 13(3), 36–47 (1993)CrossRef E. McLellan, The Alpha AXP architecture and 21064 processor. IEEE Micro 13(3), 36–47 (1993)CrossRef
Metadaten
Titel
High-Performance Computing Based on High-Speed Dynamic Reconfiguration
verfasst von
Minoru Watanabe
Copyright-Jahr
2013
Verlag
Springer New York
DOI
https://doi.org/10.1007/978-1-4614-1791-0_20

Neuer Inhalt