Skip to main content

2018 | OriginalPaper | Buchkapitel

3. Literature Survey on System-Level Optimizations Techniques

verfasst von : Anup Kumar Das, Akash Kumar, Bharadwaj Veeravalli, Francky Catthoor

Erschienen in: Reliable and Energy Efficient Streaming Multiprocessor Systems

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

As discussed in Chapter 1, design-time methodologies address three aspects – reliability and energy-aware platform-based design, reliability and energy-aware hardware-software co-design and energy-aware mapping for proactive fault-tolerance. Existing studies on these three aspects are discussed next.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat M. Ammar, M. Baklouti, M. Pelcat, K. Desnos, M. Abid, Off-line DVFS integration in mde-based design space exploration framework for mp2soc systems, in IEEE 25th International Conference on Enabling Technologies: Infrastructure for Collaborative Enterprises (WETICE) (IEEE, 2016), pp. 160–165 M. Ammar, M. Baklouti, M. Pelcat, K. Desnos, M. Abid, Off-line DVFS integration in mde-based design space exploration framework for mp2soc systems, in IEEE 25th International Conference on Enabling Technologies: Infrastructure for Collaborative Enterprises (WETICE) (IEEE, 2016), pp. 160–165
2.
Zurück zum Zitat M. Ammar, M. Baklouti, M. Pelcat, K. Desnos, M. Abid, On exploiting energy-aware scheduling algorithms for MDE-based design space exploration of mp2soc, in 2016 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP) (IEEE, 2016), pp. 643–650 M. Ammar, M. Baklouti, M. Pelcat, K. Desnos, M. Abid, On exploiting energy-aware scheduling algorithms for MDE-based design space exploration of mp2soc, in 2016 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP) (IEEE, 2016), pp. 643–650
3.
Zurück zum Zitat G. Ananthanarayanan, S.R. Sarangi, M. Balakrishnan, Leakage power aware task assignment algorithms for multicore platforms, in 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI) (IEEE, 2016), pp. 607–612 G. Ananthanarayanan, S.R. Sarangi, M. Balakrishnan, Leakage power aware task assignment algorithms for multicore platforms, in 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI) (IEEE, 2016), pp. 607–612
4.
Zurück zum Zitat R.Z. Ayoub, T.S. Rosing, Predict and act: dynamic thermal management for multi-core processors, in Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED) (ACM, 2009), pp. 99–104 R.Z. Ayoub, T.S. Rosing, Predict and act: dynamic thermal management for multi-core processors, in Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED) (ACM, 2009), pp. 99–104
5.
Zurück zum Zitat C. Bolchini, A. Miele, Reliability-driven system-level synthesis of embedded systems, in Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), (Oct 2010), pp. 35–43 C. Bolchini, A. Miele, Reliability-driven system-level synthesis of embedded systems, in Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), (Oct 2010), pp. 35–43
6.
Zurück zum Zitat C. Bolchini, A. Miele, F. Salice, D. Sciuto, L. Pomante, Reliable system co-design: the FIR case study, in Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) (Oct 2004), pp. 433–441 C. Bolchini, A. Miele, F. Salice, D. Sciuto, L. Pomante, Reliable system co-design: the FIR case study, in Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) (Oct 2004), pp. 433–441
7.
Zurück zum Zitat C. Bolchini, M. Carminati, A. Miele, A. Das, A. Kumar, B. Veeravalli, Run-time mapping for reliable many-cores based on energy/performance trade-offs, in Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) (2013), pp. 58–64 C. Bolchini, M. Carminati, A. Miele, A. Das, A. Kumar, B. Veeravalli, Run-time mapping for reliable many-cores based on energy/performance trade-offs, in Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) (2013), pp. 58–64
8.
Zurück zum Zitat X. Cai, L. Ju, X. Li, Z. Zhang, Z. Jia, Energy efficient task allocation for hybrid main memory architecture. J. Syst. Archit. 71, 12–22 (2016)CrossRef X. Cai, L. Ju, X. Li, Z. Zhang, Z. Jia, Energy efficient task allocation for hybrid main memory architecture. J. Syst. Archit. 71, 12–22 (2016)CrossRef
9.
Zurück zum Zitat T. Chantem, X. Hu, R. Dick, Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs. IEEE Trans. Very Large Scale Integr. Syst. (TVLSI) 19(10), 1884–1897 (2011) T. Chantem, X. Hu, R. Dick, Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs. IEEE Trans. Very Large Scale Integr. Syst. (TVLSI) 19(10), 1884–1897 (2011)
10.
Zurück zum Zitat T. Chantem, Y. Xiang, X.S. Hu, R.P. Dick, Enhancing multicore reliability through wear compensation in online assignment and scheduling. in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2013), pp. 1373–1378 T. Chantem, Y. Xiang, X.S. Hu, R.P. Dick, Enhancing multicore reliability through wear compensation in online assignment and scheduling. in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2013), pp. 1373–1378
11.
Zurück zum Zitat N. Chatterjee, S. Paul, S. Chattopadhyay, Fault-tolerant dynamic task mapping and scheduling for network-on-chip-based multicore platform. ACM Trans. Embedded Comput. Syst. (TECS) 16(4), 108 (2017) N. Chatterjee, S. Paul, S. Chattopadhyay, Fault-tolerant dynamic task mapping and scheduling for network-on-chip-based multicore platform. ACM Trans. Embedded Comput. Syst. (TECS) 16(4), 108 (2017)
12.
Zurück zum Zitat J. Chen, Y. Tang, Y. Dong, J. Xue, Z. Wang, W. Zhou, Reducing static energy in supercomputer interconnection networks using topology-aware partitioning. IEEE Trans. Comput. 65(8), 2588–2602 (2016)MathSciNetCrossRefMATH J. Chen, Y. Tang, Y. Dong, J. Xue, Z. Wang, W. Zhou, Reducing static energy in supercomputer interconnection networks using topology-aware partitioning. IEEE Trans. Comput. 65(8), 2588–2602 (2016)MathSciNetCrossRefMATH
13.
Zurück zum Zitat S. Chen, Z. Li, B. Yang, G. Rudolph, Quantum-inspired hyper-heuristics for energy-aware scheduling on heterogeneous computing systems. IEEE Trans. Parallel Distrib. Syst. 27(6), 1796–1810 (2016)CrossRef S. Chen, Z. Li, B. Yang, G. Rudolph, Quantum-inspired hyper-heuristics for energy-aware scheduling on heterogeneous computing systems. IEEE Trans. Parallel Distrib. Syst. 27(6), 1796–1810 (2016)CrossRef
14.
Zurück zum Zitat C.-L. Chou, R. Marculescu, FARM: fault-aware resource management in noc-based multiprocessor platforms, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2011), pp. 1–6 C.-L. Chou, R. Marculescu, FARM: fault-aware resource management in noc-based multiprocessor platforms, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2011), pp. 1–6
15.
Zurück zum Zitat R. Cochran, S. Reda, Consistent runtime thermal prediction and control through workload phase detection, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2010), pp. 62–67 R. Cochran, S. Reda, Consistent runtime thermal prediction and control through workload phase detection, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2010), pp. 62–67
16.
Zurück zum Zitat A. Coskun, T. Rosing, K. Gross, Utilizing predictors for efficient thermal management in multiprocessor SoCs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 28(10), 1503–1516 (2009) A. Coskun, T. Rosing, K. Gross, Utilizing predictors for efficient thermal management in multiprocessor SoCs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 28(10), 1503–1516 (2009)
17.
Zurück zum Zitat J. Cui, D. Maskell, A fast high-level event-driven thermal estimator for dynamic thermal aware scheduling. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 31(6), 904–917 (2012) J. Cui, D. Maskell, A fast high-level event-driven thermal estimator for dynamic thermal aware scheduling. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 31(6), 904–917 (2012)
18.
Zurück zum Zitat A. Das, A. Kumar, Fault-aware task re-mapping for throughput constrained multimedia applications on NoC-based MPSoCs, in Proceedings of the International Symposium on Rapid System Prototyping (RSP) (IEEE, 2012), pp. 149–155 A. Das, A. Kumar, Fault-aware task re-mapping for throughput constrained multimedia applications on NoC-based MPSoCs, in Proceedings of the International Symposium on Rapid System Prototyping (RSP) (IEEE, 2012), pp. 149–155
19.
Zurück zum Zitat A. Das, A. Kumar, B. Veeravalli, Energy-aware communication and remapping of tasks for reliable multimedia multiprocessor systems, in Proceedings of the International Conference on Parallel and Distributed Systems (ICPADS) (IEEE Computer Society, 2012), pp. 564–571 A. Das, A. Kumar, B. Veeravalli, Energy-aware communication and remapping of tasks for reliable multimedia multiprocessor systems, in Proceedings of the International Conference on Parallel and Distributed Systems (ICPADS) (IEEE Computer Society, 2012), pp. 564–571
20.
Zurück zum Zitat A. Das, A. Kumar, B. Veeravalli, Communication and migration energy aware design space exploration for multicore systems with intermittent faults, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2013), pp. 1631–1636 A. Das, A. Kumar, B. Veeravalli, Communication and migration energy aware design space exploration for multicore systems with intermittent faults, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2013), pp. 1631–1636
21.
Zurück zum Zitat A. Das, A. Kumar, B. Veeravalli, Reliability-driven task mapping for lifetime extension of networks-on-chip based multiprocessor systems, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2013), pp. 689–694 A. Das, A. Kumar, B. Veeravalli, Reliability-driven task mapping for lifetime extension of networks-on-chip based multiprocessor systems, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2013), pp. 689–694
22.
Zurück zum Zitat A. Das, A. Kumar, B. Veeravalli, Aging-aware hardware-software task partitioning for reliable reconfigurable multiprocessor systems, in Proceedings of the International Conference on Compilers, Architecturesand Synthesis for Embedded Systems (CASES) (IEEE Press, 2013), pp. 1:1–1:10 A. Das, A. Kumar, B. Veeravalli, Aging-aware hardware-software task partitioning for reliable reconfigurable multiprocessor systems, in Proceedings of the International Conference on Compilers, Architecturesand Synthesis for Embedded Systems (CASES) (IEEE Press, 2013), pp. 1:1–1:10
23.
Zurück zum Zitat A. Das, A. Kumar, B. Veeravalli, Temperature aware energy-reliability trade-offs for mapping of throughput-constrained applications on multimedia MPSoCs, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2014) A. Das, A. Kumar, B. Veeravalli, Temperature aware energy-reliability trade-offs for mapping of throughput-constrained applications on multimedia MPSoCs, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2014)
24.
Zurück zum Zitat A. Das, A. Kumar, B. Veeravalli, Communication and migration energy aware task mapping for reliable multiprocessor systems. Elsevier Futur. Gener. Comput. Syst. 30, 216–228 (2014)CrossRef A. Das, A. Kumar, B. Veeravalli, Communication and migration energy aware task mapping for reliable multiprocessor systems. Elsevier Futur. Gener. Comput. Syst. 30, 216–228 (2014)CrossRef
25.
Zurück zum Zitat A. Das, A. Kumar, B. Veeravalli, Energy-aware task mapping and scheduling for reliable embedded computing systems. ACM Trans. Embed. Comput. Syst. (TECS) 13(2s), 72:1–72:27 (2014) A. Das, A. Kumar, B. Veeravalli, Energy-aware task mapping and scheduling for reliable embedded computing systems. ACM Trans. Embed. Comput. Syst. (TECS) 13(2s), 72:1–72:27 (2014)
26.
Zurück zum Zitat A. Das, R.A. Shafik, G.V. Merrett, B.M. Al-Hashimi, A. Kumar, B. Veeravalli, Reinforcement learning-based inter- and intra-application thermal optimization for lifetime improvement of multicore systems, in Proceeding of the Annual Design Automation Conference (DAC) (2014) A. Das, R.A. Shafik, G.V. Merrett, B.M. Al-Hashimi, A. Kumar, B. Veeravalli, Reinforcement learning-based inter- and intra-application thermal optimization for lifetime improvement of multicore systems, in Proceeding of the Annual Design Automation Conference (DAC) (2014)
27.
Zurück zum Zitat A. Das, A. Kumar, B. Veeravalli, C. Bolchini, A. Miele, Combined DVFS and mapping exploration for lifetime and soft-error susceptibility improvement in MPSoCs, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2014) A. Das, A. Kumar, B. Veeravalli, C. Bolchini, A. Miele, Combined DVFS and mapping exploration for lifetime and soft-error susceptibility improvement in MPSoCs, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2014)
28.
Zurück zum Zitat A. Das, A. Kumar, B. Veeravalli, Reliability and energy-aware mapping and scheduling of multimedia applications on multiprocessor systems. IEEE Trans. Parallel Distrib. Syst. 27(3), 869–884 (2016)CrossRef A. Das, A. Kumar, B. Veeravalli, Reliability and energy-aware mapping and scheduling of multimedia applications on multiprocessor systems. IEEE Trans. Parallel Distrib. Syst. 27(3), 869–884 (2016)CrossRef
29.
Zurück zum Zitat B. Dave, N. Jha, COFTA: hardware-software co-synthesis of heterogeneous distributed embedded systems for low overhead fault tolerance. IEEE Trans. Comput. 48(4), 417–441 (1999)CrossRef B. Dave, N. Jha, COFTA: hardware-software co-synthesis of heterogeneous distributed embedded systems for low overhead fault tolerance. IEEE Trans. Comput. 48(4), 417–441 (1999)CrossRef
30.
Zurück zum Zitat B. Donyanavard, T. Mück, S. Sarma, N. Dutt, Sparta: runtime task allocation for energy efficient heterogeneous many-cores, in Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (ACM, 2016), p. 27 B. Donyanavard, T. Mück, S. Sarma, N. Dutt, Sparta: runtime task allocation for energy efficient heterogeneous many-cores, in Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (ACM, 2016), p. 27
31.
Zurück zum Zitat T. Ebi, D. Kramer, W. Karl, J. Henkel, Economic learning for thermal-aware power budgeting in many-core architectures, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2011), pp. 189–196 T. Ebi, D. Kramer, W. Karl, J. Henkel, Economic learning for thermal-aware power budgeting in many-core architectures, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2011), pp. 189–196
32.
Zurück zum Zitat M.A. Faruque, J. Jahn, J. Henkel, Runtime thermal management using software agents for multi- and many-core architectures. IEEE Des. Test Comput. 27(6), 58–68 (2010)CrossRef M.A. Faruque, J. Jahn, J. Henkel, Runtime thermal management using software agents for multi- and many-core architectures. IEEE Des. Test Comput. 27(6), 58–68 (2010)CrossRef
33.
Zurück zum Zitat Y. Ge, Q. Qiu, Dynamic thermal management for multimedia applications using machine learning, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2011), pp. 95–100 Y. Ge, Q. Qiu, Dynamic thermal management for multimedia applications using machine learning, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2011), pp. 95–100
34.
Zurück zum Zitat M. Glaß, M. Lukasiewycz, T. Streichert, C. Haubelt, J. Teich, Reliability-aware System Synthesis, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE), (EDA Consortium, 2007), pp. 409–414 M. Glaß, M. Lukasiewycz, T. Streichert, C. Haubelt, J. Teich, Reliability-aware System Synthesis, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE), (EDA Consortium, 2007), pp. 409–414
35.
Zurück zum Zitat Z. Gu, C. Zhu, L. Shang, R. Dick, Application-specific MPSoC reliability optimization. IEEE Trans. Very Large Scale Integr. Syst. (TVLSI) 16(5), 603–608 (2008) Z. Gu, C. Zhu, L. Shang, R. Dick, Application-specific MPSoC reliability optimization. IEEE Trans. Very Large Scale Integr. Syst. (TVLSI) 16(5), 603–608 (2008)
36.
Zurück zum Zitat M.-H. Haghbayan, A. Miele, A.M. Rahmani, P. Liljeberg, H. Tenhunen, A lifetime-aware runtime mapping approach for many-core systems in the dark silicon era, in Design, Automation & Test in Europe Conference & Exhibition (DATE), 2016 (IEEE, 2016), pp. 854–857 M.-H. Haghbayan, A. Miele, A.M. Rahmani, P. Liljeberg, H. Tenhunen, A lifetime-aware runtime mapping approach for many-core systems in the dark silicon era, in Design, Automation & Test in Europe Conference & Exhibition (DATE), 2016 (IEEE, 2016), pp. 854–857
37.
Zurück zum Zitat V. Hanumaiah, S. Vrudhula, Temperature-aware DVFS for hard real-time applications on multicore processors. IEEE Trans. Comput. 61(10), 1484–1494 (2012)MathSciNetCrossRefMATH V. Hanumaiah, S. Vrudhula, Temperature-aware DVFS for hard real-time applications on multicore processors. IEEE Trans. Comput. 61(10), 1484–1494 (2012)MathSciNetCrossRefMATH
38.
Zurück zum Zitat A.S. Hartman, D.E. Thomas, B.H. Meyer, A case for lifetime-aware task mapping in embedded chip multiprocessors, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2010), pp. 145–154 A.S. Hartman, D.E. Thomas, B.H. Meyer, A case for lifetime-aware task mapping in embedded chip multiprocessors, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2010), pp. 145–154
39.
Zurück zum Zitat A.S. Hartman, D.E. Thomas, Lifetime improvement through runtime wear-based task mapping, in Proceedings of the conference on hardware/software codesign and system synthesis (CODES+ISSS) (ACM, 2012), pp. 13–22 A.S. Hartman, D.E. Thomas, Lifetime improvement through runtime wear-based task mapping, in Proceedings of the conference on hardware/software codesign and system synthesis (CODES+ISSS) (ACM, 2012), pp. 13–22
40.
Zurück zum Zitat J. Hu, R. Marculescu, Energy-aware mapping for tile-based NoC architectures under performance constraints, in Proceedings of the 2003 Asia and South Pacific Design Automation Conference (ACM, 2003), pp. 233–239 J. Hu, R. Marculescu, Energy-aware mapping for tile-based NoC architectures under performance constraints, in Proceedings of the 2003 Asia and South Pacific Design Automation Conference (ACM, 2003), pp. 233–239
41.
Zurück zum Zitat L. Huang, Q. Xu, Energy-efficient task allocation and scheduling for multi-mode MPSoCs under lifetime reliability constraint, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2010), pp. 1584–1589 L. Huang, Q. Xu, Energy-efficient task allocation and scheduling for multi-mode MPSoCs under lifetime reliability constraint, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2010), pp. 1584–1589
42.
Zurück zum Zitat L. Huang, F. Yuan, Q. Xu, On task allocation and scheduling for lifetime extension of platform-based MPSoC designs. IEEE Trans. Parallel Distrib. Syst. (TPDS) 22(12), pp. 2088–2099 (2011) L. Huang, F. Yuan, Q. Xu, On task allocation and scheduling for lifetime extension of platform-based MPSoC designs. IEEE Trans. Parallel Distrib. Syst. (TPDS) 22(12), pp. 2088–2099 (2011)
43.
Zurück zum Zitat J. Huang, J.O. Blech, A. Raabe, C. Buckl, A. Knoll, Analysis and optimization of fault-tolerant task scheduling on multiprocessor embedded systems, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2011), pp. 247–256 J. Huang, J.O. Blech, A. Raabe, C. Buckl, A. Knoll, Analysis and optimization of fault-tolerant task scheduling on multiprocessor embedded systems, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2011), pp. 247–256
44.
Zurück zum Zitat W.-L. Hung, Y. Xie, N. Vijaykrishnan, M. Kandemir, M.J. Irwin, Thermal-aware task allocation and scheduling for embedded systems, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (IEEE Computer Society, 2005), pp. 898–899 W.-L. Hung, Y. Xie, N. Vijaykrishnan, M. Kandemir, M.J. Irwin, Thermal-aware task allocation and scheduling for embedded systems, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (IEEE Computer Society, 2005), pp. 898–899
45.
Zurück zum Zitat S. Iturriaga, B. Dorronsoro, S. Nesmachnow, Multiobjective evolutionary algorithms for energy and service level scheduling in a federation of distributed datacenters. Int. Trans. Oper. Res. 24(1–2), 199–228 (2017)MathSciNetCrossRefMATH S. Iturriaga, B. Dorronsoro, S. Nesmachnow, Multiobjective evolutionary algorithms for energy and service level scheduling in a federation of distributed datacenters. Int. Trans. Oper. Res. 24(1–2), 199–228 (2017)MathSciNetCrossRefMATH
46.
Zurück zum Zitat V. Izosimov, I. Polian, P. Pop, P. Eles, Z. Peng, Analysis and optimization of fault-tolerant embedded systems with hardened processors, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2009), pp. 682–687 V. Izosimov, I. Polian, P. Pop, P. Eles, Z. Peng, Analysis and optimization of fault-tolerant embedded systems with hardened processors, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2009), pp. 682–687
47.
Zurück zum Zitat B. Janßen, M. Naserddin, M. Hübner, A hardware/software co-design approach for control applications with static real-time reallocation, in 2016 IEEE International Parallel and Distributed Processing Symposium Workshops (IEEE, 2016) pp. 241–246 B. Janßen, M. Naserddin, M. Hübner, A hardware/software co-design approach for control applications with static real-time reallocation, in 2016 IEEE International Parallel and Distributed Processing Symposium Workshops (IEEE, 2016) pp. 241–246
48.
Zurück zum Zitat R. Jayaseelan, T. Mitra, Dynamic thermal management via architectural adaptation, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2009), pp. 484–489 R. Jayaseelan, T. Mitra, Dynamic thermal management via architectural adaptation, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2009), pp. 484–489
49.
Zurück zum Zitat A. Jhumka, S. Klaus, S.A. Huss, A dependability-driven system-level design approach for embedded systems, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (IEEE Computer Society, 2005), pp. 372–377 A. Jhumka, S. Klaus, S.A. Huss, A dependability-driven system-level design approach for embedded systems, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (IEEE Computer Society, 2005), pp. 372–377
50.
Zurück zum Zitat E. Karl, D. Blaauw, D. Sylvester, T. Mudge, Reliability modeling and management in dynamic microprocessor-based systems, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2006), pp. 1057–1060 E. Karl, D. Blaauw, D. Sylvester, T. Mudge, Reliability modeling and management in dynamic microprocessor-based systems, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2006), pp. 1057–1060
51.
Zurück zum Zitat W. Lee, K. Patel, M. Pedram, GOP-level dynamic thermal management in MPEG-2 decoding. IEEE Trans. Very Large Scale Integr. Syst. (TVLSI) 16(6), 662–672 (2008) W. Lee, K. Patel, M. Pedram, GOP-level dynamic thermal management in MPEG-2 decoding. IEEE Trans. Very Large Scale Integr. Syst. (TVLSI) 16(6), 662–672 (2008)
52.
Zurück zum Zitat C. Lee, H. Kim, H.-W. Park, S. Kim, H. Oh, S. Ha, A task remapping technique for reliable multi-core embedded systems, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2010), pp. 307–316 C. Lee, H. Kim, H.-W. Park, S. Kim, H. Oh, S. Ha, A task remapping technique for reliable multi-core embedded systems, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2010), pp. 307–316
53.
Zurück zum Zitat A. Martínez-Álvarez, F. Restrepo-Calle, S. Cuenca-Asensi, L.M. Reyneri, A. Lindoso, L. Entrena, A hardware-software approach for on-line soft error mitigation in interrupt-driven applications. IEEE Trans. Dependable Secure Comput. 13(4), 502–508 (2016)CrossRef A. Martínez-Álvarez, F. Restrepo-Calle, S. Cuenca-Asensi, L.M. Reyneri, A. Lindoso, L. Entrena, A hardware-software approach for on-line soft error mitigation in interrupt-driven applications. IEEE Trans. Dependable Secure Comput. 13(4), 502–508 (2016)CrossRef
54.
Zurück zum Zitat X. Mei, X. Chu, H. Liu, Y.-W. Leung, Z. Li, Energy efficient real-time task scheduling on cpu-gpu hybrid clusters, in INFOCOM. IEEE (2017) X. Mei, X. Chu, H. Liu, Y.-W. Leung, Z. Li, Energy efficient real-time task scheduling on cpu-gpu hybrid clusters, in INFOCOM. IEEE (2017)
56.
Zurück zum Zitat P. Mercati, A. Bartolini, F. Paterna, T.S. Rosing, L. Benini, Workload and user experience-aware dynamic reliability management in multicore processors, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2013), pp. 2:1–2:6 P. Mercati, A. Bartolini, F. Paterna, T.S. Rosing, L. Benini, Workload and user experience-aware dynamic reliability management in multicore processors, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2013), pp. 2:1–2:6
57.
Zurück zum Zitat B.H. Meyer, A.S. Hartman, D.E. Thomas, Cost-effective slack allocation for lifetime improvement in NoC-based MPSoCs, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2010), pp. 1596–1601 B.H. Meyer, A.S. Hartman, D.E. Thomas, Cost-effective slack allocation for lifetime improvement in NoC-based MPSoCs, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2010), pp. 1596–1601
58.
Zurück zum Zitat A. Miele, Lifetime reliability modeling and estimation in multi-core systems, in 34th IEEE VLSI Test Symposium, VTS 2016, Las Vegas, NV, April 25–27 (2016), p. 1 A. Miele, Lifetime reliability modeling and estimation in multi-core systems, in 34th IEEE VLSI Test Symposium, VTS 2016, Las Vegas, NV, April 25–27 (2016), p. 1
59.
Zurück zum Zitat D. Rai, H. Yang, I. Bacivarov, J.-J. Chen, L. Thiele, Worst-case temperature analysis for real-time systems, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (2011), pp. 1–6 D. Rai, H. Yang, I. Bacivarov, J.-J. Chen, L. Thiele, Worst-case temperature analysis for real-time systems, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (2011), pp. 1–6
60.
Zurück zum Zitat V. Rathore, V. Chaturvedi, T. Srikanthan, Performance constraint-aware task mapping to optimize lifetime reliability of manycore systems, in 2016 International Great Lakes Symposium on VLSI (IEEE, 2016), pp. 377–380 V. Rathore, V. Chaturvedi, T. Srikanthan, Performance constraint-aware task mapping to optimize lifetime reliability of manycore systems, in 2016 International Great Lakes Symposium on VLSI (IEEE, 2016), pp. 377–380
61.
Zurück zum Zitat S.S. Sahoo, A. Kumar, B. Veeravalli, Design and evaluation of reliability-oriented task re-mapping in mpsocs using time-series analysis of intermittent faults, in 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE) (IEEE, 2016), pp. 798–803 S.S. Sahoo, A. Kumar, B. Veeravalli, Design and evaluation of reliability-oriented task re-mapping in mpsocs using time-series analysis of intermittent faults, in 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE) (IEEE, 2016), pp. 798–803
62.
Zurück zum Zitat F. Sironi, M. Maggio, R. Cattaneo, G. Del Nero, D. Sciuto, M. Santambrogio, ThermOS: system support for dynamic thermal management of chip multi-processors, in Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT) (2013), pp. 41–50 F. Sironi, M. Maggio, R. Cattaneo, G. Del Nero, D. Sciuto, M. Santambrogio, ThermOS: system support for dynamic thermal management of chip multi-processors, in Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT) (2013), pp. 41–50
63.
Zurück zum Zitat M.T. Schmitz, B.M. Al-Hashimi, P. Eles, Energy-efficient mapping and scheduling for DVS enabled distributed embedded systems, in Proceedings of Design, Automation and Test in Europe Conference and Exhibition, 2002 (IEEE, 2002), pp. 514–521 M.T. Schmitz, B.M. Al-Hashimi, P. Eles, Energy-efficient mapping and scheduling for DVS enabled distributed embedded systems, in Proceedings of Design, Automation and Test in Europe Conference and Exhibition, 2002 (IEEE, 2002), pp. 514–521
64.
Zurück zum Zitat H.F. Sheikh, I. Ahmad, Sixteen heuristics for joint optimization of performance, energy, and temperature in allocating tasks to multi-cores. ACM Trans. Parallel Comput. (TOPC) 3(2), 9 (2016) H.F. Sheikh, I. Ahmad, Sixteen heuristics for joint optimization of performance, energy, and temperature in allocating tasks to multi-cores. ACM Trans. Parallel Comput. (TOPC) 3(2), 9 (2016)
65.
Zurück zum Zitat A.K. Singh, M. Shafique, A. Kumar, J. Henkel, Mapping on multi/many-core systems: survey of current and emerging trends, in Proceedings of the 50th Annual Design Automation Conference (ACM, 2013), p. 1 A.K. Singh, M. Shafique, A. Kumar, J. Henkel, Mapping on multi/many-core systems: survey of current and emerging trends, in Proceedings of the 50th Annual Design Automation Conference (ACM, 2013), p. 1
66.
Zurück zum Zitat P.V. Stralen, A. Pimentel, A SAFE approach towards early design space exploration of fault-tolerant multimedia MPSoCs, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2012), pp. 393–402 P.V. Stralen, A. Pimentel, A SAFE approach towards early design space exploration of fault-tolerant multimedia MPSoCs, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2012), pp. 393–402
67.
Zurück zum Zitat S. Tzilis, I. Sourdis, V.Vasilikos, D. Rodopoulos, D. Soudris, Runtime management of adaptive mpsocs for graceful degradation, in Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems (ACM, 2016), p. 5 S. Tzilis, I. Sourdis, V.Vasilikos, D. Rodopoulos, D. Soudris, Runtime management of adaptive mpsocs for graceful degradation, in Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems (ACM, 2016), p. 5
68.
Zurück zum Zitat I. Ukhov, M. Bao, P. Eles, Z. Peng, Steady-state dynamic temperature analysis and reliability optimization for embedded multiprocessor systems, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2012), pp. 197–204 I. Ukhov, M. Bao, P. Eles, Z. Peng, Steady-state dynamic temperature analysis and reliability optimization for embedded multiprocessor systems, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2012), pp. 197–204
70.
Zurück zum Zitat T. Wei, X. Chen, S. Hu, Reliability-driven energy-efficient task scheduling for multiprocessor real-time systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 30(10), 1569–1573 (2011) T. Wei, X. Chen, S. Hu, Reliability-driven energy-efficient task scheduling for multiprocessor real-time systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 30(10), 1569–1573 (2011)
71.
Zurück zum Zitat Y. Xie, L. Li, M. Kandemir, N. Vijaykrishnan, M. Irwin, Reliability-aware co-synthesis for embedded systems. J. VLSI Signal Process. Syst. Signal Image Video Technol. 49(1), 87–99 (2007)CrossRef Y. Xie, L. Li, M. Kandemir, N. Vijaykrishnan, M. Irwin, Reliability-aware co-synthesis for embedded systems. J. VLSI Signal Process. Syst. Signal Image Video Technol. 49(1), 87–99 (2007)CrossRef
72.
Zurück zum Zitat C. Yang, A. Orailoglu, Predictable execution adaptivity through embedding dynamic reconfigurability into static MPSoC schedules, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2007), pp. 15–20 C. Yang, A. Orailoglu, Predictable execution adaptivity through embedding dynamic reconfigurability into static MPSoC schedules, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2007), pp. 15–20
73.
Zurück zum Zitat J. Zhou, J. Yan, J. Chen, T. Wei, Peak temperature minimization via task allocation and splitting for heterogeneous mpsoc real-time systems. J. Signal Process. Syst. 84(1), 111–121 (2016)CrossRef J. Zhou, J. Yan, J. Chen, T. Wei, Peak temperature minimization via task allocation and splitting for heterogeneous mpsoc real-time systems. J. Signal Process. Syst. 84(1), 111–121 (2016)CrossRef
74.
Zurück zum Zitat C. Zhu, Z. P. Gu, R.P. Dick, L. Shang, Reliable multiprocessor system-on-chip synthesis, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2007), pp. 239–244 C. Zhu, Z. P. Gu, R.P. Dick, L. Shang, Reliable multiprocessor system-on-chip synthesis, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2007), pp. 239–244
Metadaten
Titel
Literature Survey on System-Level Optimizations Techniques
verfasst von
Anup Kumar Das
Akash Kumar
Bharadwaj Veeravalli
Francky Catthoor
Copyright-Jahr
2018
DOI
https://doi.org/10.1007/978-3-319-69374-3_3

Neuer Inhalt