Skip to main content

2007 | OriginalPaper | Buchkapitel

8. Nanoimprint Lithography

verfasst von : Helmut Schift, Dr., Anders Kristensen, Prof.

Erschienen in: Springer Handbook of Nanotechnology

Verlag: Springer Berlin Heidelberg

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Nanoimprint lithography is an emerging nanopatterning method, combining nanometer-scale resolution and high throughput. In a top-down approach, a rigid stamp with a surface relief is pressed into a thin film of soft material on a hard substrate. The film is hardened before the stamp is retrieved, and the surface relief is copied into the thin film. A pattern with nano- to micrometer scale features can be replicated in a parallel process, and the stamp may be reused many times. This makes nanoimprint lithography a promising technique for volume manufacturing of nanostructured components. At present, structures with feature sizes down to 5 nm have been realized, and the resolution is limited by the ability to manufacture the stamp relief. For historical reasons, the term nanoimprint lithography (NIL) refers to a hot embossing process, where a thin film of thermoplastic material is softened by heating it, and the embossed film is hardened again when it is cooled down. In ultraviolet (UV)-NIL, a photo-polymerizable resin is used together with a UV-transparent stamp. The resin is liquid at room temperature, allowing easy embossing of the stamp, before the resin is hardened by UV exposure. In this chapter we will give an overview of nanoimprint lithography, with emphasis on NIL. Material aspects of stamps and resists are discussed. Thin-film rheology plays a central role of the understanding of the nanoimprint process, since the resist is patterned by mechanical deformation. We discuss specific applications where imprint methods have significant advantages over other structuring methods. We conclude by discussing the areas where further development in this field is required.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
8.3.
Zurück zum Zitat K. C. Pohlmann: The Compact Disc Handbook, Computer Music and Digital Audio Series, Vol. 5, 2nd edn. (A-R Editions, Middleton, Wisconsin 1992) K. C. Pohlmann: The Compact Disc Handbook, Computer Music and Digital Audio Series, Vol. 5, 2nd edn. (A-R Editions, Middleton, Wisconsin 1992)
8.4.
Zurück zum Zitat H. Schift, C. David, M. Gabriel, J. Gobrecht, L. J. Heyderman, W. Kaiser, S. Köppel, L. Scandella: Nanoreplication in polymers using hot embossing and injection molding, Microelectron. Eng. 53, 171–174 (2000) H. Schift, C. David, M. Gabriel, J. Gobrecht, L. J. Heyderman, W. Kaiser, S. Köppel, L. Scandella: Nanoreplication in polymers using hot embossing and injection molding, Microelectron. Eng. 53, 171–174 (2000)
8.5.
Zurück zum Zitat S. Y. Chou, P. R. Krauss, W. Zhang, L. Guo, L. Zhuang: Sub-10 nm imprint lithography and applications, J. Vac. Sci. Technol. B 15, 2897–2904 (1997) S. Y. Chou, P. R. Krauss, W. Zhang, L. Guo, L. Zhuang: Sub-10 nm imprint lithography and applications, J. Vac. Sci. Technol. B 15, 2897–2904 (1997)
8.6.
Zurück zum Zitat S. Y. Chou, P. R. Krauss: Imprint lithography with sub-10 nm feature size and high throughput, Microelectron. Eng. 35, 237–240 (1997) S. Y. Chou, P. R. Krauss: Imprint lithography with sub-10 nm feature size and high throughput, Microelectron. Eng. 35, 237–240 (1997)
8.7.
Zurück zum Zitat R. W. Jaszewski, H. Schift, J. Gobrecht, P. Smith: Hot embossing in polymers as a direct way to pattern resist, Microelectron. Eng. 41–42, 575–578 (1998) R. W. Jaszewski, H. Schift, J. Gobrecht, P. Smith: Hot embossing in polymers as a direct way to pattern resist, Microelectron. Eng. 41–42, 575–578 (1998)
8.8.
Zurück zum Zitat Y. Xia, G. M. Whitesides: Soft lithography, Angew. Chem. Int. 37, 550–575 (1998) Y. Xia, G. M. Whitesides: Soft lithography, Angew. Chem. Int. 37, 550–575 (1998)
8.9.
Zurück zum Zitat B. Michel, A. Bernard, A. Bietsch, E. Delamarche, M. Geissler, D. Juncker, H. Kind, J.-P. Renault, H. Rothuizen, H. Schmid, P. Schmidt-Winkel, R. Stutz, H. Wolf: Printing meets lithography: Soft approaches to high-resolution, IBM J. Res. Dev. 45(5), 697–719 (2001) B. Michel, A. Bernard, A. Bietsch, E. Delamarche, M. Geissler, D. Juncker, H. Kind, J.-P. Renault, H. Rothuizen, H. Schmid, P. Schmidt-Winkel, R. Stutz, H. Wolf: Printing meets lithography: Soft approaches to high-resolution, IBM J. Res. Dev. 45(5), 697–719 (2001)
8.10.
Zurück zum Zitat W. Menz, J. Mohr, O. Paul: Microsystem Technology (Wiley-VCH, Weinheim 2001) W. Menz, J. Mohr, O. Paul: Microsystem Technology (Wiley-VCH, Weinheim 2001)
8.11.
Zurück zum Zitat C. Sotomayor Torres: Alternative Lithography—Unleashing the Potential of Nanotechnology, Nanostructure Science and Technology, ed. by D. J. Lockwood (Kluwer Academic, Plenum Publishers, New York 2003) C. Sotomayor Torres: Alternative Lithography—Unleashing the Potential of Nanotechnology, Nanostructure Science and Technology, ed. by D. J. Lockwood (Kluwer Academic, Plenum Publishers, New York 2003)
8.13.
Zurück zum Zitat H. Moore: Cramming more components onto integrated circuits with unit cost falling as the number of components per circuit rises, Electronics 38(8), 114–117 (1965) H. Moore: Cramming more components onto integrated circuits with unit cost falling as the number of components per circuit rises, Electronics 38(8), 114–117 (1965)
8.14.
Zurück zum Zitat S. Okazaki: Resolution limits of optical lithography, J. Vac. Sci. Technol. B 9(6), 2829–2833 (1991) S. Okazaki: Resolution limits of optical lithography, J. Vac. Sci. Technol. B 9(6), 2829–2833 (1991)
8.15.
Zurück zum Zitat R. Compaño (ed): European Commission IST programme, Future and Emerging Technologies, Technology Roadmap for Nanoelectronics, 2nd edn. (Office for Official Publications of the European Commission, Luxembourg 2001) R. Compaño (ed): European Commission IST programme, Future and Emerging Technologies, Technology Roadmap for Nanoelectronics, 2nd edn. (Office for Official Publications of the European Commission, Luxembourg 2001)
8.16.
Zurück zum Zitat S. Y. Chou, P. R. Krauss, P. J. Renstrom: Imprint of sub-25 nm vias and trenches in polymers, Appl. Phys. Lett. 67(21), 3114–3116 (1995) S. Y. Chou, P. R. Krauss, P. J. Renstrom: Imprint of sub-25 nm vias and trenches in polymers, Appl. Phys. Lett. 67(21), 3114–3116 (1995)
8.17.
Zurück zum Zitat S. Y. Chou, P. R. Krauss, P. J. Renstrom: Imprint lithography with 25-nanometer resolution, Science 272, 85–87 (1996) S. Y. Chou, P. R. Krauss, P. J. Renstrom: Imprint lithography with 25-nanometer resolution, Science 272, 85–87 (1996)
8.18.
Zurück zum Zitat S. Y. Chou, P. R. Krauss, P. J. Renstrom: Nanoimprint lithography, J. Vac. Sci. Technol. B 14(6), 4129–4133 (1996) S. Y. Chou, P. R. Krauss, P. J. Renstrom: Nanoimprint lithography, J. Vac. Sci. Technol. B 14(6), 4129–4133 (1996)
8.19.
Zurück zum Zitat S. Y. Chou: Nanoimprint lithography, US Patent 5772905 (1995) S. Y. Chou: Nanoimprint lithography, US Patent 5772905 (1995)
8.20.
Zurück zum Zitat L. Baraldi, R. Kunz, J. Meissner: High-precision molding of integrated optical structures, Proc. SPIE 1992, 21–29 (1993) L. Baraldi, R. Kunz, J. Meissner: High-precision molding of integrated optical structures, Proc. SPIE 1992, 21–29 (1993)
8.21.
Zurück zum Zitat R. W. Jaszewski, H. Schift, J. Gobrecht, P. Smith: Hot embossing in polymers as a direct way to pattern resist, Microelectron. Eng. 41–42, 575–578 (1998) R. W. Jaszewski, H. Schift, J. Gobrecht, P. Smith: Hot embossing in polymers as a direct way to pattern resist, Microelectron. Eng. 41–42, 575–578 (1998)
8.22.
Zurück zum Zitat L. J. Heyderman, H. Schift, C. David, J. Gobrecht, T. Schweizer: Flow behaviour of thin polymer films used for hot embossing lithography, Microelectron. Eng. 54, 229–245 (2000) L. J. Heyderman, H. Schift, C. David, J. Gobrecht, T. Schweizer: Flow behaviour of thin polymer films used for hot embossing lithography, Microelectron. Eng. 54, 229–245 (2000)
8.23.
Zurück zum Zitat J. Haisma, M. Verheijen, K. van den Heuvel, J. van den Berg: Mold-assisted lithography: A process for reliable pattern replication, J. Vac. Sci. Technol. B 14, 4124–4128 (1996) J. Haisma, M. Verheijen, K. van den Heuvel, J. van den Berg: Mold-assisted lithography: A process for reliable pattern replication, J. Vac. Sci. Technol. B 14, 4124–4128 (1996)
8.24.
Zurück zum Zitat M. Colburn, S. Johnson, M. Stewart, S. Damle, T. Bailey, B. Choi, M. Wedlake, T. Michealson, S. V. Sreenivasan, J. Ekerdt, C. G. Willson: Step and flash imprint lithography: A new approach to high-resolution patterning, Proc. SPIE 3676, 379–389 (1999) M. Colburn, S. Johnson, M. Stewart, S. Damle, T. Bailey, B. Choi, M. Wedlake, T. Michealson, S. V. Sreenivasan, J. Ekerdt, C. G. Willson: Step and flash imprint lithography: A new approach to high-resolution patterning, Proc. SPIE 3676, 379–389 (1999)
8.25.
Zurück zum Zitat D. J. Resnick, W. J. Dauksher, D. Mancini, K. J. Nordquist, T. C. Bailey, S. Johnson, N. Stacey, J. G. Ekerdt, C. G. Willson, S. V. Sreenivasan, N. Schumaker: Imprint lithography: Lab curiosity or the real NGL?, Proc. SPIE 5037, 12–23 (2003) D. J. Resnick, W. J. Dauksher, D. Mancini, K. J. Nordquist, T. C. Bailey, S. Johnson, N. Stacey, J. G. Ekerdt, C. G. Willson, S. V. Sreenivasan, N. Schumaker: Imprint lithography: Lab curiosity or the real NGL?, Proc. SPIE 5037, 12–23 (2003)
8.26.
Zurück zum Zitat D. J. Resnick, S. V. Sreenivasan, C. G. Willson: Step & flash imprint lithography, Mater. Today 8, 34–42 (2005) D. J. Resnick, S. V. Sreenivasan, C. G. Willson: Step & flash imprint lithography, Mater. Today 8, 34–42 (2005)
8.27.
Zurück zum Zitat M. Doi: Introduction to Polymer Physics (Clarendon, Oxford 1996) M. Doi: Introduction to Polymer Physics (Clarendon, Oxford 1996)
8.28.
Zurück zum Zitat D. W. van Krevelen: Properties of Polymers (Elsevier, Amsterdam 1990) D. W. van Krevelen: Properties of Polymers (Elsevier, Amsterdam 1990)
8.29.
Zurück zum Zitat H. Schift, L. J. Heyderman: Nanorheology—squeezed flow in hot embossing of thin films. In: Alternative Lithography, Nanostructure science and technology, ed. by C. Sotomayor Torres (Kluwer Plenum, New York 2003) pp. 46–76 H. Schift, L. J. Heyderman: Nanorheology—squeezed flow in hot embossing of thin films. In: Alternative Lithography, Nanostructure science and technology, ed. by C. Sotomayor Torres (Kluwer Plenum, New York 2003) pp. 46–76
8.30.
Zurück zum Zitat H.-C. Scheer, H. Schulz, T. Hoffmann, C. M. Sotomayor Torres: Nanoimprint techniques. In: Handbook of Thin Film Materials, Vol. 5, ed. by H. S. Nalva (Academic, New York 2002) Chap. 1, pp. 1–60 H.-C. Scheer, H. Schulz, T. Hoffmann, C. M. Sotomayor Torres: Nanoimprint techniques. In: Handbook of Thin Film Materials, Vol. 5, ed. by H. S. Nalva (Academic, New York 2002) Chap. 1, pp. 1–60
8.31.
Zurück zum Zitat M. D. Austin, H. Ge, W. Wu, M. Li, Z. Yu, D. Wasserman, S. A. Lyon, S. Y. Chou: Fabrication of 5 nm linewidth and 14 nm pitch features by nanoimprint lithography, Appl. Phys. Lett. 84(26), 5299–5301 (2004) M. D. Austin, H. Ge, W. Wu, M. Li, Z. Yu, D. Wasserman, S. A. Lyon, S. Y. Chou: Fabrication of 5 nm linewidth and 14 nm pitch features by nanoimprint lithography, Appl. Phys. Lett. 84(26), 5299–5301 (2004)
8.32.
Zurück zum Zitat E. A. Dobisz, S. L. Brandow, R. Bass, J. Mitterender: Effects of molecular properties on nanolithography in polymethyl methacrylate, J. Vac. Sci. Technol. B 18, 107–111 (2000) E. A. Dobisz, S. L. Brandow, R. Bass, J. Mitterender: Effects of molecular properties on nanolithography in polymethyl methacrylate, J. Vac. Sci. Technol. B 18, 107–111 (2000)
8.33.
Zurück zum Zitat A. Olzierski, I. Raptis: Development and molecular-weight issues on the lithographic performance of poly-(methyl methacrylate), Microelectron. Eng. 73–74, 244–251 (2004) A. Olzierski, I. Raptis: Development and molecular-weight issues on the lithographic performance of poly-(methyl methacrylate), Microelectron. Eng. 73–74, 244–251 (2004)
8.34.
Zurück zum Zitat M. Khoury, D. K. Ferry: Effect of molecular weight on poly(methyl-methacrylate) resolution, J. Vac. Sci. Technol. B 14, 75–79 (1996) M. Khoury, D. K. Ferry: Effect of molecular weight on poly(methyl-methacrylate) resolution, J. Vac. Sci. Technol. B 14, 75–79 (1996)
8.35.
Zurück zum Zitat L. J. Fetters, D. J. Lohse, D. Richter, T. A. Witten, A. Zirkel: Connection between polymer molecular weight, density, chain dimensions, and melt viscoelastic properties, Macromolecules 27, 4639–4647 (1994) L. J. Fetters, D. J. Lohse, D. Richter, T. A. Witten, A. Zirkel: Connection between polymer molecular weight, density, chain dimensions, and melt viscoelastic properties, Macromolecules 27, 4639–4647 (1994)
8.36.
Zurück zum Zitat C. B. Roth, J. R. Dutcher: Mobility on different length scales in thin polymer films. In: Soft Materials: Structure and Dynamics, ed. by J. R. Dutcher, A. G. Marangoni (Dekker, New York 2004) C. B. Roth, J. R. Dutcher: Mobility on different length scales in thin polymer films. In: Soft Materials: Structure and Dynamics, ed. by J. R. Dutcher, A. G. Marangoni (Dekker, New York 2004)
8.37.
Zurück zum Zitat J. N. D'Amour, U. Okoroanyanwu, C. W. Frank: Influence of substrate chemistry on the properties of ultrathin polymer films, Microelectron. Eng. 73–74, 209–217 (2004) J. N. D'Amour, U. Okoroanyanwu, C. W. Frank: Influence of substrate chemistry on the properties of ultrathin polymer films, Microelectron. Eng. 73–74, 209–217 (2004)
8.38.
Zurück zum Zitat R. B. Bird, C. F. Curtis, R. C. Armstrong, O. Hassager: Dynamics of Polymeric Liquids, Vol. 1, Fluid Mechanics (Wiley, New York 1987) R. B. Bird, C. F. Curtis, R. C. Armstrong, O. Hassager: Dynamics of Polymeric Liquids, Vol. 1, Fluid Mechanics (Wiley, New York 1987)
8.39.
Zurück zum Zitat L. G. Baraldi: Heißprägen in Polymeren für die Herstellung integriert-optischer Systemkomponenten. Ph.D. Thesis (ETH Zürich, Zürich 1994) L. G. Baraldi: Heißprägen in Polymeren für die Herstellung integriert-optischer Systemkomponenten. Ph.D. Thesis (ETH Zürich, Zürich 1994)
8.40.
Zurück zum Zitat M. J. Stefan: Parallel Platten Rheometer, Akad Wiss. Math.-Natur., Wien 2(69), 713–735 (1874) M. J. Stefan: Parallel Platten Rheometer, Akad Wiss. Math.-Natur., Wien 2(69), 713–735 (1874)
8.41.
Zurück zum Zitat J.-H. Jeong, Y.-S. Choi, Y.-J. Shin, J.-J. Lee, K.-T. Park, E.-S. Lee, S.-R. Lee: Flow behavior at the embossing stage of nanoimprint lithography, Fibers Polym. 3(3), 113–119 (2002) J.-H. Jeong, Y.-S. Choi, Y.-J. Shin, J.-J. Lee, K.-T. Park, E.-S. Lee, S.-R. Lee: Flow behavior at the embossing stage of nanoimprint lithography, Fibers Polym. 3(3), 113–119 (2002)
8.42.
Zurück zum Zitat H. Schift, S. Park, J. Gobrecht: Nano-Imprint—molding resists for lithography, J. Photopolym. Sci. Technol. (Japan) 16(3), 435–438 (2003) H. Schift, S. Park, J. Gobrecht: Nano-Imprint—molding resists for lithography, J. Photopolym. Sci. Technol. (Japan) 16(3), 435–438 (2003)
8.43.
Zurück zum Zitat H.-C. Scheer, H. Schulz, T. Hoffmann, C. M. Sotomayor Torres: Problems of the nanoimprinting technique for nanometer scale pattern definition, J. Vac. Sci. Technol. B 16, 3917–3921 (1998) H.-C. Scheer, H. Schulz, T. Hoffmann, C. M. Sotomayor Torres: Problems of the nanoimprinting technique for nanometer scale pattern definition, J. Vac. Sci. Technol. B 16, 3917–3921 (1998)
8.44.
Zurück zum Zitat H.-C. Scheer, H. Schulz: A contribution to the flow behaviour of thin polymer films during hot embossing lithography, Microelectron. Eng. 56, 311–332 (2001) H.-C. Scheer, H. Schulz: A contribution to the flow behaviour of thin polymer films during hot embossing lithography, Microelectron. Eng. 56, 311–332 (2001)
8.45.
Zurück zum Zitat L. J. Guo: Recent progress in nanoimprint technology and its applications, J. Phys. D: Appl. Phys. 37, R123–R141 (2004) L. J. Guo: Recent progress in nanoimprint technology and its applications, J. Phys. D: Appl. Phys. 37, R123–R141 (2004)
8.46.
Zurück zum Zitat C. Gourgon, C. Perret, G. Micouin, F. Lazzarino, J. H. Tortai, O. Joubert, J.-P. E. Grolier: Influence of pattern density in nanoimprint lithography, J. Vac. Sci. Technol. B 21(1), 98–105 (2003) C. Gourgon, C. Perret, G. Micouin, F. Lazzarino, J. H. Tortai, O. Joubert, J.-P. E. Grolier: Influence of pattern density in nanoimprint lithography, J. Vac. Sci. Technol. B 21(1), 98–105 (2003)
8.47.
Zurück zum Zitat A. Lebib, Y. Chen, J. Bourneix, F. Carcenac, E. Cambril, L. Couraud, H. Launois: Nanoimprint lithography for a large area pattern replication, Microelectron. Eng. 46, 319–322 (1999) A. Lebib, Y. Chen, J. Bourneix, F. Carcenac, E. Cambril, L. Couraud, H. Launois: Nanoimprint lithography for a large area pattern replication, Microelectron. Eng. 46, 319–322 (1999)
8.48.
Zurück zum Zitat C. Gourgon, J. H. Tortai, F. Lazzarino, C. Perret, G. Micouin, O. Joubert, S. Landis: Influence of residual solvent in polymers patterned by nanoimprint lithography, J. Vac. Sci. Technol. B 22(6), 602–606 (2004) C. Gourgon, J. H. Tortai, F. Lazzarino, C. Perret, G. Micouin, O. Joubert, S. Landis: Influence of residual solvent in polymers patterned by nanoimprint lithography, J. Vac. Sci. Technol. B 22(6), 602–606 (2004)
8.49.
Zurück zum Zitat Y. Hirai, M. Fujiwara, T. Okuno, Y. Tanaka, M. Endo, S. Irie, K. Nakagawa, M. Sasago: Study of the resist deformation in nanoimprint lithography, J. Vac. Sci. Technol. B 19(6), 2811–2815 (2001) Y. Hirai, M. Fujiwara, T. Okuno, Y. Tanaka, M. Endo, S. Irie, K. Nakagawa, M. Sasago: Study of the resist deformation in nanoimprint lithography, J. Vac. Sci. Technol. B 19(6), 2811–2815 (2001)
8.50.
Zurück zum Zitat Y. Hirai, T. Konishi, T. Yoshikawa, S. Yoshida: Simulation and experimental study of polymer deformation in nanoimprint lithography, J. Vac. Sci. Technol. B 22(6), 3288–3293 (2002) Y. Hirai, T. Konishi, T. Yoshikawa, S. Yoshida: Simulation and experimental study of polymer deformation in nanoimprint lithography, J. Vac. Sci. Technol. B 22(6), 3288–3293 (2002)
8.51.
Zurück zum Zitat H. D. Rowland, W. P. King: Polymer deformation and filling modes during microembossing, J. Micromech. Microeng. 14, 1625 (2004) H. D. Rowland, W. P. King: Polymer deformation and filling modes during microembossing, J. Micromech. Microeng. 14, 1625 (2004)
8.52.
Zurück zum Zitat S. Zankovych, T. Hoffmann, J. Seekamp, J.-U. Bruch, C. M. Sotomayor Torres: Nanoimprint lithography: Challenges and prospects, Nanotechnology 12(2), 91–95 (2001) S. Zankovych, T. Hoffmann, J. Seekamp, J.-U. Bruch, C. M. Sotomayor Torres: Nanoimprint lithography: Challenges and prospects, Nanotechnology 12(2), 91–95 (2001)
8.53.
Zurück zum Zitat M. Beck, M. Graczyk, I. Maximov, E.-L. Sarwe, T. G. I. Ling, M. Keil, L. Montelius: Improving stamps for 10 nm level wafer scale nanoimprint, lithography, Microelectron. Eng. 61–62, 441–448 (2002) M. Beck, M. Graczyk, I. Maximov, E.-L. Sarwe, T. G. I. Ling, M. Keil, L. Montelius: Improving stamps for 10 nm level wafer scale nanoimprint, lithography, Microelectron. Eng. 61–62, 441–448 (2002)
8.54.
Zurück zum Zitat D.-Y. Khang, H. H. Lee: Room-temperature imprint lithography by solvent vapor treatment, Appl. Phys. Lett. 76(7), 870–872 (2000) D.-Y. Khang, H. H. Lee: Room-temperature imprint lithography by solvent vapor treatment, Appl. Phys. Lett. 76(7), 870–872 (2000)
8.55.
Zurück zum Zitat D.-Y. Khang, H. Yoon, H. H. Lee: Room-temperature imprint lithography, Adv. Mater. 13(10), 749–751 (2001) D.-Y. Khang, H. Yoon, H. H. Lee: Room-temperature imprint lithography, Adv. Mater. 13(10), 749–751 (2001)
8.56.
Zurück zum Zitat D.-Y. Khang, H. Kang, T.-I. Kim, H. H. Lee: Low-pressure nanoimprint lithography, Nanoletters 4(4), 633–637 (2004) D.-Y. Khang, H. Kang, T.-I. Kim, H. H. Lee: Low-pressure nanoimprint lithography, Nanoletters 4(4), 633–637 (2004)
8.57.
Zurück zum Zitat L. J. Guo: Recent progress in nanoimprint technology and its applications, J. Phys. D: Appl. Phys. 37, R123–R141 (2004) L. J. Guo: Recent progress in nanoimprint technology and its applications, J. Phys. D: Appl. Phys. 37, R123–R141 (2004)
8.58.
Zurück zum Zitat H. Lee, G. Y. Jung: Full wafer scale near zero residual nano-imprinting lithography using UV curable monomer solution, Microelectron. Eng. 77(1), 42–47 (2005) H. Lee, G. Y. Jung: Full wafer scale near zero residual nano-imprinting lithography using UV curable monomer solution, Microelectron. Eng. 77(1), 42–47 (2005)
8.59.
Zurück zum Zitat L. Tan, Y. P. Kong, S. W. Pang, A. F. Yee: Imprinting of polymer at low temperature and pressure, J. Vac. Sci. Technol. B 22(5), 2486–2492 (2004) L. Tan, Y. P. Kong, S. W. Pang, A. F. Yee: Imprinting of polymer at low temperature and pressure, J. Vac. Sci. Technol. B 22(5), 2486–2492 (2004)
8.60.
Zurück zum Zitat C. Finder, C. Mayer, H. Schulz, H.-C. Scheer, M. Fink, K. Pfeiffer: Non-contact fluorescence measurements for inspection and imprint depth control in nanoimprint lithography, Proc. SPIE 4764, 218–223 (2002) C. Finder, C. Mayer, H. Schulz, H.-C. Scheer, M. Fink, K. Pfeiffer: Non-contact fluorescence measurements for inspection and imprint depth control in nanoimprint lithography, Proc. SPIE 4764, 218–223 (2002)
8.61.
Zurück zum Zitat D. Jucius, V. Grigaliunas, A. Guobiene: Rapid evaluation of imprint quality using optical scatterometry, Microelectron. Eng. 71, 190–196 (2004) D. Jucius, V. Grigaliunas, A. Guobiene: Rapid evaluation of imprint quality using optical scatterometry, Microelectron. Eng. 71, 190–196 (2004)
8.62.
Zurück zum Zitat A. Fuchs, B. Vratzov, T. Wahlbrink, Y. Georgiev, H. Kurz: Interferometric in situ alignment for UV-based nanoimprint, J. Vac. Sci. Technol. B 22(6), 3242–3245 (2002) A. Fuchs, B. Vratzov, T. Wahlbrink, Y. Georgiev, H. Kurz: Interferometric in situ alignment for UV-based nanoimprint, J. Vac. Sci. Technol. B 22(6), 3242–3245 (2002)
8.63.
Zurück zum Zitat Z. Yu, H. Gao, S. Y. Chou: In situ real time process characterisation in nanoimprint lithography using time-resolved diffractive scatterometry, Appl. Phys. Lett. 85(18), 4166–4168 (2004) Z. Yu, H. Gao, S. Y. Chou: In situ real time process characterisation in nanoimprint lithography using time-resolved diffractive scatterometry, Appl. Phys. Lett. 85(18), 4166–4168 (2004)
8.64.
Zurück zum Zitat F. Lazzarino, C. Gourgon, P. Schiavone, C. Perret: Mold deformation in nanoimprint lithography, J. Vac. Sci. Technol. B 22(6), 3318–3322 (2002) F. Lazzarino, C. Gourgon, P. Schiavone, C. Perret: Mold deformation in nanoimprint lithography, J. Vac. Sci. Technol. B 22(6), 3318–3322 (2002)
8.65.
Zurück zum Zitat C. Perret, C. Gourgon, F. Lazzarino, J. Tallal, S. Landis, R. Pelzer: Characterization of 8-in. wafers printed by nanoimprint lithography, Microelectron. Eng. 73–74, 172–177 (2004) C. Perret, C. Gourgon, F. Lazzarino, J. Tallal, S. Landis, R. Pelzer: Characterization of 8-in. wafers printed by nanoimprint lithography, Microelectron. Eng. 73–74, 172–177 (2004)
8.66.
Zurück zum Zitat C. Gourgon, C. Perret, J. Tallal, F. Lazzarino, S. Landis, O. Joubert, R. Pelzer: Uniformity across 200 mm silicon wafers printed by nanoimprint lithography, J. Phys. D: Appl. Phys. 38, 70–73 (2005) C. Gourgon, C. Perret, J. Tallal, F. Lazzarino, S. Landis, O. Joubert, R. Pelzer: Uniformity across 200 mm silicon wafers printed by nanoimprint lithography, J. Phys. D: Appl. Phys. 38, 70–73 (2005)
8.67.
Zurück zum Zitat U. Plachetka, M. Bender, A. Fuchs, B. Vratzov, T. Glinsner, F. Lindner, H. Kurz: Wafer scale patterning by soft UV-nanoimprint lithography, Microelectron. Eng. 73–74, 167–171 (2004) U. Plachetka, M. Bender, A. Fuchs, B. Vratzov, T. Glinsner, F. Lindner, H. Kurz: Wafer scale patterning by soft UV-nanoimprint lithography, Microelectron. Eng. 73–74, 167–171 (2004)
8.68.
Zurück zum Zitat N. Roos, M. Wissen, T. Glinsner, H.-C. Scheer: Impact of vacuum environment on the hot embossing process, Proc. SPIE 5037, 211–218 (2003) N. Roos, M. Wissen, T. Glinsner, H.-C. Scheer: Impact of vacuum environment on the hot embossing process, Proc. SPIE 5037, 211–218 (2003)
8.69.
Zurück zum Zitat D. Pisignano, A. Melcarne, D. Mangiullo, R. Cingolani, G. Gigli: Nanoimprint lithography of chromophore molecules under high-vacuum conditions, J. Vac. Sci. Technol. B 22(1), 185–188 (2004) D. Pisignano, A. Melcarne, D. Mangiullo, R. Cingolani, G. Gigli: Nanoimprint lithography of chromophore molecules under high-vacuum conditions, J. Vac. Sci. Technol. B 22(1), 185–188 (2004)
8.70.
Zurück zum Zitat H. Schift, L. J. Heyderman, M. auf der Maur, J. Gobrecht: Pattern formation in hot embossing of thin polymer films, Nanotechnology 12, 173–177 (2001) H. Schift, L. J. Heyderman, M. auf der Maur, J. Gobrecht: Pattern formation in hot embossing of thin polymer films, Nanotechnology 12, 173–177 (2001)
8.71.
Zurück zum Zitat S. Y. Chou, L. Zhuang: Lithographically induced self-assembly of periodic polymer micropillar arrays, J. Vac. Sci. Technol. B 17, 3197–3202 (1999) S. Y. Chou, L. Zhuang: Lithographically induced self-assembly of periodic polymer micropillar arrays, J. Vac. Sci. Technol. B 17, 3197–3202 (1999)
8.72.
Zurück zum Zitat S. Y. Chou, L. Zhuang, L. J. Guo: Lithographically induced self-construction of polymer microstructures for resistless patterning, Appl. Phys. Lett. 75, 1004–1006 (1999) S. Y. Chou, L. Zhuang, L. J. Guo: Lithographically induced self-construction of polymer microstructures for resistless patterning, Appl. Phys. Lett. 75, 1004–1006 (1999)
8.73.
Zurück zum Zitat L. Wu, S. Y. Chou: Electrohydrodynamic instability of a thin film of viscoelastic polymer underneath a lithographically manufactured mask, J. Non-Newtonian Fluid Mech. 125, 91–99 (2005) L. Wu, S. Y. Chou: Electrohydrodynamic instability of a thin film of viscoelastic polymer underneath a lithographically manufactured mask, J. Non-Newtonian Fluid Mech. 125, 91–99 (2005)
8.74.
Zurück zum Zitat E. Schäffer, T. Thurn-Albrecht, T. P. Russell, U. Steiner: Electrically induced structure formation and pattern transfer, Nature 403, 874–877 (2000) E. Schäffer, T. Thurn-Albrecht, T. P. Russell, U. Steiner: Electrically induced structure formation and pattern transfer, Nature 403, 874–877 (2000)
8.75.
Zurück zum Zitat E. Schäffer, T. Thurn-Albrecht, T. P. Russell, U. Steiner: Method and apparatus for forming submicron patterns on films. US Patent Registration 07880075001 (1999) E. Schäffer, T. Thurn-Albrecht, T. P. Russell, U. Steiner: Method and apparatus for forming submicron patterns on films. US Patent Registration 07880075001 (1999)
8.76.
Zurück zum Zitat E. Schäffer, U. Steiner: Methods and apparatus for the formation of patterns in films using temperature gradients. European Patent Application PCT 124205.6 (2000) E. Schäffer, U. Steiner: Methods and apparatus for the formation of patterns in films using temperature gradients. European Patent Application PCT 124205.6 (2000)
8.77.
Zurück zum Zitat K. Y. Suh, H. H. Lee: Capillary force lithography: large-area patterning, self-organization, and anisotropic dewetting, Adv. Funct. Mater. 12(6,7), 405–413 (2002) K. Y. Suh, H. H. Lee: Capillary force lithography: large-area patterning, self-organization, and anisotropic dewetting, Adv. Funct. Mater. 12(6,7), 405–413 (2002)
8.78.
Zurück zum Zitat Y. Hirai, S. Yoshida, N. Takagi: Defect analysis in thermal nanoimprint lithography, J. Vac. Sci. Technol. B 21(6), 2765–2770 (2003) Y. Hirai, S. Yoshida, N. Takagi: Defect analysis in thermal nanoimprint lithography, J. Vac. Sci. Technol. B 21(6), 2765–2770 (2003)
8.79.
Zurück zum Zitat Y. Hirai, T. Yoshikawa, N. Takagi, S. Yoshida: Mechanical properties of poly-methyl methacrylate (PMMA) for nanoimprint lithography, J. Photopolym. Sci. Technol. (Japan) 16(4), 615–620 (2003) Y. Hirai, T. Yoshikawa, N. Takagi, S. Yoshida: Mechanical properties of poly-methyl methacrylate (PMMA) for nanoimprint lithography, J. Photopolym. Sci. Technol. (Japan) 16(4), 615–620 (2003)
8.80.
Zurück zum Zitat M. Colburn, I. Suez, B. J. Choi, M. Meissl, T. Bailey, S. V. Sreenivasan, J. G. Ekerdt, C. G. Willson: Characterization and modelling of volumetric and mechanical properties for step and flash imprint lithography photopolymers, J. Vac. Sci. Technol. B 19(6), 2685–2689 (2001) M. Colburn, I. Suez, B. J. Choi, M. Meissl, T. Bailey, S. V. Sreenivasan, J. G. Ekerdt, C. G. Willson: Characterization and modelling of volumetric and mechanical properties for step and flash imprint lithography photopolymers, J. Vac. Sci. Technol. B 19(6), 2685–2689 (2001)
8.81.
Zurück zum Zitat D. J. Resnick, W. J. Dauksher, D. Mancini, K. J. Nordquist, T. C. Bailey, S. Johnson, N. Stacey, J. G. Ekerdt, C. G. Willson, S. V. Sreenivasan, N. Schumaker: Imprint lithography for integrated circuit fabrication, J. Vac. Sci. Technol. B 21(6), 2624–2631 (2003) D. J. Resnick, W. J. Dauksher, D. Mancini, K. J. Nordquist, T. C. Bailey, S. Johnson, N. Stacey, J. G. Ekerdt, C. G. Willson, S. V. Sreenivasan, N. Schumaker: Imprint lithography for integrated circuit fabrication, J. Vac. Sci. Technol. B 21(6), 2624–2631 (2003)
8.82.
Zurück zum Zitat M. Otto, M. Bender, B. Hadam, B. Spangenberg, H. Kurz: Characterization and application of a UV-based imprint technique, Microelectron. Eng. 57–58, 361–366 (2001) M. Otto, M. Bender, B. Hadam, B. Spangenberg, H. Kurz: Characterization and application of a UV-based imprint technique, Microelectron. Eng. 57–58, 361–366 (2001)
8.83.
Zurück zum Zitat B. Vratzov, A. Fuchs, M. Lemme, W. Henschel, H. Kurz: Large scale ultraviolet-based nanoimprint lithography, J. Vac. Sci. Technol. B 21(6), 2760–2764 (2003) B. Vratzov, A. Fuchs, M. Lemme, W. Henschel, H. Kurz: Large scale ultraviolet-based nanoimprint lithography, J. Vac. Sci. Technol. B 21(6), 2760–2764 (2003)
8.84.
Zurück zum Zitat M. Komuro, J. Taniguchi, S. Inoue, N. Kimura, Y. Tokano, H. Hiroshima, S. Matsui: Imprint characteristics by photo-induced solidification of liquid polymer, Jpn. J. Appl. Phys. 39, 7075–7079 (2000) M. Komuro, J. Taniguchi, S. Inoue, N. Kimura, Y. Tokano, H. Hiroshima, S. Matsui: Imprint characteristics by photo-induced solidification of liquid polymer, Jpn. J. Appl. Phys. 39, 7075–7079 (2000)
8.85.
Zurück zum Zitat H. Schulz, H.-C. Scheer, T. Hoffmann, C. M. Sotomayor Torres, K. Pfeiffer, G. Bleidiessel, G. Grützner, C. Cardinaud, F. Gaboriau, M.-C. Peignon, J. Ahopelto, B. Heidari: New polymer materials for nanoimprinting, J. Vac. Sci. Technol. B 18(4), 1861–1865 (2000) H. Schulz, H.-C. Scheer, T. Hoffmann, C. M. Sotomayor Torres, K. Pfeiffer, G. Bleidiessel, G. Grützner, C. Cardinaud, F. Gaboriau, M.-C. Peignon, J. Ahopelto, B. Heidari: New polymer materials for nanoimprinting, J. Vac. Sci. Technol. B 18(4), 1861–1865 (2000)
8.86.
Zurück zum Zitat H. Schulz, D. Lyebyedyev, H.-C. Scheer, K. Pfeiffer, G. Bleidiessel, G. Grützner, J. Ahopelto: Master replication into thermosetting polymers for nanoimprinting, J. Vac. Sci. Technol. B 18(6), 3582–3585 (2000) H. Schulz, D. Lyebyedyev, H.-C. Scheer, K. Pfeiffer, G. Bleidiessel, G. Grützner, J. Ahopelto: Master replication into thermosetting polymers for nanoimprinting, J. Vac. Sci. Technol. B 18(6), 3582–3585 (2000)
8.87.
Zurück zum Zitat K. Pfeiffer, M. Fink, G. Bleidiessel, G. Gruetzner, H. Schulz, H.-C. Scheer, T. Hoffmann, C. M. Sotomayor Torres, F. Gaboriau, C. Cardinaud: Novel linear and crosslinking polymers for nanoimprinting with high etch resistance, Microelectron. Eng. 53, 411–414 (2000) K. Pfeiffer, M. Fink, G. Bleidiessel, G. Gruetzner, H. Schulz, H.-C. Scheer, T. Hoffmann, C. M. Sotomayor Torres, F. Gaboriau, C. Cardinaud: Novel linear and crosslinking polymers for nanoimprinting with high etch resistance, Microelectron. Eng. 53, 411–414 (2000)
8.88.
Zurück zum Zitat S. Rudschuck, D. Hirsch, K. Zimmer, K. Otte, A. Braun, R. Mehnert, F. Bigl: Replication of 3-D-micro- and nanostrucutures using different UV-curable polymers, Microelectron. Eng. 53, 557–560 (2000) S. Rudschuck, D. Hirsch, K. Zimmer, K. Otte, A. Braun, R. Mehnert, F. Bigl: Replication of 3-D-micro- and nanostrucutures using different UV-curable polymers, Microelectron. Eng. 53, 557–560 (2000)
8.89.
Zurück zum Zitat M. Sagnes, L. Malaquin, F. Carcenac, C. Vieu, C. Fournier: Imprint lithography using thermo-polymerisation of MMA, Microelectron. Eng. 61–62, 429–433 (2002) M. Sagnes, L. Malaquin, F. Carcenac, C. Vieu, C. Fournier: Imprint lithography using thermo-polymerisation of MMA, Microelectron. Eng. 61–62, 429–433 (2002)
8.90.
Zurück zum Zitat A. Abdo, S. Schuetter, G. Nellis, A. Wei, R. Engelstad, V. Truskett: Predicting the fluid behavior during the dispensing process for step-and-flash imprint lithography, J. Vac. Sci. Technol. 22(6), 3279–3282 (2002) A. Abdo, S. Schuetter, G. Nellis, A. Wei, R. Engelstad, V. Truskett: Predicting the fluid behavior during the dispensing process for step-and-flash imprint lithography, J. Vac. Sci. Technol. 22(6), 3279–3282 (2002)
8.91.
Zurück zum Zitat Y. Hirai, H. Kikuta, T. Sanou: Study on optical intensity distribution in photocuring nanoimprint lithography, J. Vac. Sci. Technol. B 21(6), 2777–2782 (2003) Y. Hirai, H. Kikuta, T. Sanou: Study on optical intensity distribution in photocuring nanoimprint lithography, J. Vac. Sci. Technol. B 21(6), 2777–2782 (2003)
8.92.
Zurück zum Zitat C.-H. Chang, R. K. Heilmann, R. C. Fleming, J. Carter, E. Murphy, M. L. Schattenburg, T. C. Bailey, J. G. Ekerdt, R. D. Frankel, R. Voisin: Fabrication of sawtooth diffraction gratings using nanoimprint lithography, J. Vac. Sci. Technol. B 21(6), 2755–2759 (2003) C.-H. Chang, R. K. Heilmann, R. C. Fleming, J. Carter, E. Murphy, M. L. Schattenburg, T. C. Bailey, J. G. Ekerdt, R. D. Frankel, R. Voisin: Fabrication of sawtooth diffraction gratings using nanoimprint lithography, J. Vac. Sci. Technol. B 21(6), 2755–2759 (2003)
8.93.
Zurück zum Zitat P. R. Krauss, S. Y. Chou: Nano-compact disks with 400 Gbit/in2 storage density fabricated using nanoimprint lithography and read with proximal probe, Appl. Phys. Lett. 71(21), 3174–3176 (1997) P. R. Krauss, S. Y. Chou: Nano-compact disks with 400 Gbit/in2 storage density fabricated using nanoimprint lithography and read with proximal probe, Appl. Phys. Lett. 71(21), 3174–3176 (1997)
8.94.
Zurück zum Zitat W. Wu, B. Cui, X. Sun, W. Zhang, L. Zhuang, L. Kong, S. Y. Chou: Large area high density quantized magnetic disks fabricated using nanoimprint lithography, J. Vac. Sci. Technol. B 16(6), 3825 (1998) W. Wu, B. Cui, X. Sun, W. Zhang, L. Zhuang, L. Kong, S. Y. Chou: Large area high density quantized magnetic disks fabricated using nanoimprint lithography, J. Vac. Sci. Technol. B 16(6), 3825 (1998)
8.95.
Zurück zum Zitat R. W. Jaszewski, H. Schift, J. Gobrecht, P. Smith: Hot embossing in polymers as a direct way to pattern resist, Microelectron. Eng. 41–42, 575–578 (1998) R. W. Jaszewski, H. Schift, J. Gobrecht, P. Smith: Hot embossing in polymers as a direct way to pattern resist, Microelectron. Eng. 41–42, 575–578 (1998)
8.96.
Zurück zum Zitat H. Schift, S. Park, C.-G. Choi, C.-S. Kee, S.-P. Han, K.-B. Yoon, J. Gobrecht: Fabrication process for polymer photonic crystals using nanoimprint lithography, Nanotechnology 16, S261–S265 (2005) H. Schift, S. Park, C.-G. Choi, C.-S. Kee, S.-P. Han, K.-B. Yoon, J. Gobrecht: Fabrication process for polymer photonic crystals using nanoimprint lithography, Nanotechnology 16, S261–S265 (2005)
8.97.
Zurück zum Zitat M. Hartney, D. Hess, D. Soane: Oxygen plasma etching for resist stripping and multilayer lithography, J. Vac. Sci. Technol. B 7, 1–13 (1989) M. Hartney, D. Hess, D. Soane: Oxygen plasma etching for resist stripping and multilayer lithography, J. Vac. Sci. Technol. B 7, 1–13 (1989)
8.98.
Zurück zum Zitat W. Pilz, J. Janes, K. P. M. Müller, J. Pelka: Oxygen reactive ion etching of polymers—profile evolution and process mechanisms, Proc. SPIE 1392, 84–94 (1990) W. Pilz, J. Janes, K. P. M. Müller, J. Pelka: Oxygen reactive ion etching of polymers—profile evolution and process mechanisms, Proc. SPIE 1392, 84–94 (1990)
8.99.
Zurück zum Zitat B. Heidari, I. Maximov, E.-L. Sarwe, L. Montelius: Large scale nanolithography using imprint lithography, J. Vac. Sci. Technol. B 17, 2961–2964 (1999) B. Heidari, I. Maximov, E.-L. Sarwe, L. Montelius: Large scale nanolithography using imprint lithography, J. Vac. Sci. Technol. B 17, 2961–2964 (1999)
8.100.
Zurück zum Zitat D. Lyebyedyev, H.-C. Scheer: Mask definition by nanoimprint lithography, Proc. SPIE 4349, 82–85 (2001) D. Lyebyedyev, H.-C. Scheer: Mask definition by nanoimprint lithography, Proc. SPIE 4349, 82–85 (2001)
8.101.
Zurück zum Zitat X.-M. Yan, S. Kwon, A. M. Contreras, J. Bokor, G. A. Somorjai: Fabrication of large number density platinum nanowire arrays by size reduction lithography and nanoimprint lithography, Nanoletters web-publication, (web access April 2005) (2005) X.-M. Yan, S. Kwon, A. M. Contreras, J. Bokor, G. A. Somorjai: Fabrication of large number density platinum nanowire arrays by size reduction lithography and nanoimprint lithography, Nanoletters web-publication, (web access April 2005) (2005)
8.102.
Zurück zum Zitat L. J. Heyderman, B. Ketterer, D. Bächle, F. Glaus, B. Haas, H. Schift, K. Vogelsang, J. Gobrecht, L. Tiefenauer, O. Dubochet, P. Surbled, T. Hessler: High volume fabrication of customised nanopore membrane chips, Microelectron. Eng. 67–68, 208–213 (2003) L. J. Heyderman, B. Ketterer, D. Bächle, F. Glaus, B. Haas, H. Schift, K. Vogelsang, J. Gobrecht, L. Tiefenauer, O. Dubochet, P. Surbled, T. Hessler: High volume fabrication of customised nanopore membrane chips, Microelectron. Eng. 67–68, 208–213 (2003)
8.103.
Zurück zum Zitat L. J. Heyderman, H. Schift, C. David, B. Ketterer, M. auf der Maur, J. Gobrecht: Nanofabrication using hot embossing lithography and electroforming, Microelectron. Eng. 57–58, 375–380 (2001) L. J. Heyderman, H. Schift, C. David, B. Ketterer, M. auf der Maur, J. Gobrecht: Nanofabrication using hot embossing lithography and electroforming, Microelectron. Eng. 57–58, 375–380 (2001)
8.104.
Zurück zum Zitat H. Schift, L. J. Heyderman, C. Padeste, J. Gobrecht: Chemical nano-patterning using hot embossing lithography, Microelectron. Eng. 61–62, 423–428 (2002) H. Schift, L. J. Heyderman, C. Padeste, J. Gobrecht: Chemical nano-patterning using hot embossing lithography, Microelectron. Eng. 61–62, 423–428 (2002)
8.105.
Zurück zum Zitat H. Schift, R. W. Jaszewski, C. David, J. Gobrecht: Nanostructuring of polymers and fabrication of interdigitated electrodes by hot embossing lithography, Microelectron. Eng. 46, 121–124 (1999) H. Schift, R. W. Jaszewski, C. David, J. Gobrecht: Nanostructuring of polymers and fabrication of interdigitated electrodes by hot embossing lithography, Microelectron. Eng. 46, 121–124 (1999)
8.106.
Zurück zum Zitat L. Montelius, B. Heidari, M. Graczik, T. Ling, I. Maximov, E.-L. Sarwe: Large area nanoimprint fabrication of sub-100 nm interdigitated metal arrays, Proc. SPIE 3997, 442–452 (2000) L. Montelius, B. Heidari, M. Graczik, T. Ling, I. Maximov, E.-L. Sarwe: Large area nanoimprint fabrication of sub-100 nm interdigitated metal arrays, Proc. SPIE 3997, 442–452 (2000)
8.107.
Zurück zum Zitat L. Montelius, B. Heidari, M. Graczyk, E.-L. Sarwe, T. G. I. Ling: Nanoimprint- and UV-lithography: mix & match process for fabrication of interdigitated nanobiosensors, Microelectron. Eng. 53, 521–524 (2000) L. Montelius, B. Heidari, M. Graczyk, E.-L. Sarwe, T. G. I. Ling: Nanoimprint- and UV-lithography: mix & match process for fabrication of interdigitated nanobiosensors, Microelectron. Eng. 53, 521–524 (2000)
8.108.
Zurück zum Zitat M. Beck, F. Persson, P. Carlberg, M. Graczyk, I. Maximov, T. G. I. Ling, L. Montelius: Nanoelectrochemical transducers for (bio-)chemical sensor applications fabricated by nanoimprint lithography, Microelectron. Eng. 73–74, 837 (2004) M. Beck, F. Persson, P. Carlberg, M. Graczyk, I. Maximov, T. G. I. Ling, L. Montelius: Nanoelectrochemical transducers for (bio-)chemical sensor applications fabricated by nanoimprint lithography, Microelectron. Eng. 73–74, 837 (2004)
8.109.
Zurück zum Zitat H. Schift, C. Park, C. Padeste, J. Gobrecht: Nanostructuring of anti-adhesive layer by hot embossing lithography, Microelectron. Eng. 67–68, 252–258 (2003) H. Schift, C. Park, C. Padeste, J. Gobrecht: Nanostructuring of anti-adhesive layer by hot embossing lithography, Microelectron. Eng. 67–68, 252–258 (2003)
8.110.
Zurück zum Zitat S. Park, S. Saxer, C. Padeste, H. H. Solak, J. Gobrecht, H. Schift: Chemical patterning of sub 50 nm half pitches via nanoimprint lithography, Microelectron. Eng. 78–79, 682–688 (2005) S. Park, S. Saxer, C. Padeste, H. H. Solak, J. Gobrecht, H. Schift: Chemical patterning of sub 50 nm half pitches via nanoimprint lithography, Microelectron. Eng. 78–79, 682–688 (2005)
8.111.
Zurück zum Zitat D. Falconnet, D. Pasqui, S. Park, R. Eckert, H. Schift, J. Gobrecht, R. Barbucci, M. Textor: A novel approach to produce protein nanopatterns by combining nanoimprint, lithography and molecular self-assembly, Nanoletters 4(10), 1909–1914 (2004) D. Falconnet, D. Pasqui, S. Park, R. Eckert, H. Schift, J. Gobrecht, R. Barbucci, M. Textor: A novel approach to produce protein nanopatterns by combining nanoimprint, lithography and molecular self-assembly, Nanoletters 4(10), 1909–1914 (2004)
8.112.
Zurück zum Zitat J. D. Hoff, L.-J. Cheng, E. Meyhofer, L. J. Guo, A. J. Hunt: Nanoscale protein patterning by imprint lithography, Nanoletters 4(5), 853 (2004) J. D. Hoff, L.-J. Cheng, E. Meyhofer, L. J. Guo, A. J. Hunt: Nanoscale protein patterning by imprint lithography, Nanoletters 4(5), 853 (2004)
8.113.
Zurück zum Zitat T. Schliebe, G. Schneider, H. Aschoff: Nanostructuring high resolution phase zone plates in nickel and germanium using cross-linked polymers, Microelectron. Eng. 30, 513–516 (1996) T. Schliebe, G. Schneider, H. Aschoff: Nanostructuring high resolution phase zone plates in nickel and germanium using cross-linked polymers, Microelectron. Eng. 30, 513–516 (1996)
8.114.
Zurück zum Zitat G. Simon, A. M. Haghiri-Gosnet, F. Carcenac, H. Launois: Electroplating: An alternative transfer technology in the 20 nm range, Microelectron. Eng. 35, 51–54 (1997) G. Simon, A. M. Haghiri-Gosnet, F. Carcenac, H. Launois: Electroplating: An alternative transfer technology in the 20 nm range, Microelectron. Eng. 35, 51–54 (1997)
8.115.
Zurück zum Zitat D. Suh, J. Rhee, H. H. Lee: Bilayer reversal imprint lithography: Direct metal–polymer transfer, Nanotechnology 15, 1103–1107 (2004) D. Suh, J. Rhee, H. H. Lee: Bilayer reversal imprint lithography: Direct metal–polymer transfer, Nanotechnology 15, 1103–1107 (2004)
8.116.
Zurück zum Zitat F. Reuther, K. Pfeiffer, M. Fink, G. Gruetzner, H. Schulz, H.-C. Scheer, F. Gaboriau, C. Cardinaud: Mix and match of nanoimprint and UV lithography, Proc. SPIE 4343, 802–809 (2001) F. Reuther, K. Pfeiffer, M. Fink, G. Gruetzner, H. Schulz, H.-C. Scheer, F. Gaboriau, C. Cardinaud: Mix and match of nanoimprint and UV lithography, Proc. SPIE 4343, 802–809 (2001)
8.117.
Zurück zum Zitat K. Pfeiffer, M. Fink, G. Gruetzner, G. Bleidiessel, H. Schulz, H.-C. Scheer: Multistep profiles by mix and match of nanoimprint and UV-lithography, Microelectron. Eng. 57–58, 381–387 (2001) K. Pfeiffer, M. Fink, G. Gruetzner, G. Bleidiessel, H. Schulz, H.-C. Scheer: Multistep profiles by mix and match of nanoimprint and UV-lithography, Microelectron. Eng. 57–58, 381–387 (2001)
8.118.
Zurück zum Zitat X. Cheng, L. J. Guo: A combined nanoimprint and photolithography patterning technique, Microelectron. Eng. 3–4, 277–282 (2004) X. Cheng, L. J. Guo: A combined nanoimprint and photolithography patterning technique, Microelectron. Eng. 3–4, 277–282 (2004)
8.119.
Zurück zum Zitat X. Cheng, L. J. Guo: One-step lithography for various size patterns with a hybrid mask-mold, Microelectron. Eng. 3–4, 288–293 (2004) X. Cheng, L. J. Guo: One-step lithography for various size patterns with a hybrid mask-mold, Microelectron. Eng. 3–4, 288–293 (2004)
8.120.
Zurück zum Zitat N. Kehagias, S. Zankovych, A. Goldschmidt, R. Kian, M. Zelsmann, C. M. Sotomayor Torres, K. Pfeiffer, G. Ahrens, G. Gruetzner: Embedded polymer waveguides: design and fabrication approaches, Superlattices Microstruct. 36(1-3), 201 (2004) N. Kehagias, S. Zankovych, A. Goldschmidt, R. Kian, M. Zelsmann, C. M. Sotomayor Torres, K. Pfeiffer, G. Ahrens, G. Gruetzner: Embedded polymer waveguides: design and fabrication approaches, Superlattices Microstruct. 36(1-3), 201 (2004)
8.121.
Zurück zum Zitat W. Zhang, S. Y. Chou: Multilevel nanoimprint lithography with submicron alignment over 4 in. Si wafers, Appl. Phys. Lett. 79(6), 845 (2001) W. Zhang, S. Y. Chou: Multilevel nanoimprint lithography with submicron alignment over 4 in. Si wafers, Appl. Phys. Lett. 79(6), 845 (2001)
8.122.
Zurück zum Zitat H. Schulz, M. Wissen, N. Roos, H.-C. Scheer, K. Pfeiffer, G. Gruetzner: Low-temperature wafer-scale ‘warm’ embossing for mix & match with UV-lithography, SPIE Proc. 4688, 223–231 (2002) H. Schulz, M. Wissen, N. Roos, H.-C. Scheer, K. Pfeiffer, G. Gruetzner: Low-temperature wafer-scale ‘warm’ embossing for mix & match with UV-lithography, SPIE Proc. 4688, 223–231 (2002)
8.123.
Zurück zum Zitat I. Martini, J. Dechow, M. Kamp, A. Forchel, J. Koeth: GaAs field effect transistors fabricated by imprint lithography, Microelectron. Eng. 60(3-4), 451–455 (2002) I. Martini, J. Dechow, M. Kamp, A. Forchel, J. Koeth: GaAs field effect transistors fabricated by imprint lithography, Microelectron. Eng. 60(3-4), 451–455 (2002)
8.124.
Zurück zum Zitat A. P. Kam, J. Seekamp, V. Solovyev, A. Goldschmidt, C. M. Sotomayor Torres: Nanoimprinted organic field-effect transistors: Fabrication, transfer mechanism and solvent effects on device characteristics, Microelectron. Eng. 73–74, 809–813 (2004) A. P. Kam, J. Seekamp, V. Solovyev, A. Goldschmidt, C. M. Sotomayor Torres: Nanoimprinted organic field-effect transistors: Fabrication, transfer mechanism and solvent effects on device characteristics, Microelectron. Eng. 73–74, 809–813 (2004)
8.125.
Zurück zum Zitat H. Schulz, A. S. Körbes, H.-C. Scheer, L. J. Balk: Combination of nanoimprint and scanning force lithography for local tailoring of sidewalls of nanometer devices, Microelectron. Eng. 53, 221–224 (2000) H. Schulz, A. S. Körbes, H.-C. Scheer, L. J. Balk: Combination of nanoimprint and scanning force lithography for local tailoring of sidewalls of nanometer devices, Microelectron. Eng. 53, 221–224 (2000)
8.126.
Zurück zum Zitat M. Tormen, L. Businaro, M. Altissimo, F. Romanato, S. Cabrini, F. Perennes, R. Proietti, Hong-Bo Sun, S. Kawata, E. Di Fabrizio: 3-D patterning by means of nanoimprinting, X-ray and two-photon lithography, Microelectron. Eng. 73–74, 535–541 (2004) M. Tormen, L. Businaro, M. Altissimo, F. Romanato, S. Cabrini, F. Perennes, R. Proietti, Hong-Bo Sun, S. Kawata, E. Di Fabrizio: 3-D patterning by means of nanoimprinting, X-ray and two-photon lithography, Microelectron. Eng. 73–74, 535–541 (2004)
8.127.
Zurück zum Zitat X. Sun, L. Zhuang, W. Zhang, S. Y. Chou: Multilayer resist methods for nanoimprint lithography on nonflat surfaces, J. Vac. Sci. Technol. B 16(6), 3922–3925 (1998) X. Sun, L. Zhuang, W. Zhang, S. Y. Chou: Multilayer resist methods for nanoimprint lithography on nonflat surfaces, J. Vac. Sci. Technol. B 16(6), 3922–3925 (1998)
8.128.
Zurück zum Zitat F. van Delft: Bilayer resist used in e-beam lithography for deep narrow structures, Microelectron. Eng. 46, 369–373 (1999) F. van Delft: Bilayer resist used in e-beam lithography for deep narrow structures, Microelectron. Eng. 46, 369–373 (1999)
8.129.
Zurück zum Zitat L. Tan, Y. P. Kong, L.-L. Bao, X. D. Huang, L. J. Guo, S. W. Pang, A. F. Yee: Imprinting polymer film on patterned substrates, J. Vac. Sci. Technol. B 21(6), 2742–2748 (2003) L. Tan, Y. P. Kong, L.-L. Bao, X. D. Huang, L. J. Guo, S. W. Pang, A. F. Yee: Imprinting polymer film on patterned substrates, J. Vac. Sci. Technol. B 21(6), 2742–2748 (2003)
8.130.
Zurück zum Zitat B. Faircloth, H. Rohrs, R. Tiberio, R. Ruoff, R. R. Krchnavek: Bilayer nanoimprint lithography, J. Vac. Sci. Technol. B 18(4), 1866–1873 (2000) B. Faircloth, H. Rohrs, R. Tiberio, R. Ruoff, R. R. Krchnavek: Bilayer nanoimprint lithography, J. Vac. Sci. Technol. B 18(4), 1866–1873 (2000)
8.131.
Zurück zum Zitat A. Lebib, M. Natali, S. P. Li, E. Cambril, L. Manin, Y. Chen, H. M. Janssen, R. P. Sijbesma: Control of the critical dimension with a trilayer nanoimprint lithography procedure, Microelectron. Eng. 57–58, 411–416 (2001) A. Lebib, M. Natali, S. P. Li, E. Cambril, L. Manin, Y. Chen, H. M. Janssen, R. P. Sijbesma: Control of the critical dimension with a trilayer nanoimprint lithography procedure, Microelectron. Eng. 57–58, 411–416 (2001)
8.132.
Zurück zum Zitat Y. Chen, K. Peng, Z. Cui: A lift-off process for high resolution patterns using PMMA/LOR resist stack, Microelectron. Eng. 73–74, 278–281 (2004) Y. Chen, K. Peng, Z. Cui: A lift-off process for high resolution patterns using PMMA/LOR resist stack, Microelectron. Eng. 73–74, 278–281 (2004)
8.133.
Zurück zum Zitat P. Carlberg, M. Graczyk, E.-L. Sawe, I. Maximov, M. Beck, L. Montelius: Lift-off process for nanoimprint lithography, Microelectron. Eng. 67–68, 203–207 (2003) P. Carlberg, M. Graczyk, E.-L. Sawe, I. Maximov, M. Beck, L. Montelius: Lift-off process for nanoimprint lithography, Microelectron. Eng. 67–68, 203–207 (2003)
8.134.
Zurück zum Zitat W. Li, J. O. Tegenfeldt, L. Chen, R. H. Austin, S. Y. Chou, P. A. Kohl, J. Krotine, J. C. Sturm: Sacrificial polymers for nanofluidic channels in biological applications, Nanotechnology 14, 578–583 (2003) W. Li, J. O. Tegenfeldt, L. Chen, R. H. Austin, S. Y. Chou, P. A. Kohl, J. Krotine, J. C. Sturm: Sacrificial polymers for nanofluidic channels in biological applications, Nanotechnology 14, 578–583 (2003)
8.136.
Zurück zum Zitat W. Trybula: Sematech, AMRC, and Nano. Nanoprint and Nanoimprint Technology (NNT) conference, Vienna, Austria, December 2, 2004. Oral presentation W. Trybula: Sematech, AMRC, and Nano. Nanoprint and Nanoimprint Technology (NNT) conference, Vienna, Austria, December 2, 2004. Oral presentation
8.137.
Zurück zum Zitat S. Johnson, D. J. Resnick, D. Mancini, K. J. Nordquist, W. J. Dauksher, K. Gehoski, J. H. Baker, L. Dues, A. Hooper, T. C. Bailey, S. V. Sreenivasan, J. G. Ekerdt, C. G. Willson: Fabrication of multi-tiered structures on step and flash imprint lithography templates, Microelectron. Eng. 67–68, 221–228 (2003) S. Johnson, D. J. Resnick, D. Mancini, K. J. Nordquist, W. J. Dauksher, K. Gehoski, J. H. Baker, L. Dues, A. Hooper, T. C. Bailey, S. V. Sreenivasan, J. G. Ekerdt, C. G. Willson: Fabrication of multi-tiered structures on step and flash imprint lithography templates, Microelectron. Eng. 67–68, 221–228 (2003)
8.138.
Zurück zum Zitat Y. P. Kong, H. Y. Lowa, S. W. Pang, A. F. Yee: Duo-mold imprinting of three-dimensional polymeric structures, J. Vac. Sci. Technol. B 22(6), 3251–3265 (2004) Y. P. Kong, H. Y. Lowa, S. W. Pang, A. F. Yee: Duo-mold imprinting of three-dimensional polymeric structures, J. Vac. Sci. Technol. B 22(6), 3251–3265 (2004)
8.139.
Zurück zum Zitat T. Borzenko, M. Tormen, G. Schmidt, L. W. Molenkamp: Polymer bonding process for nanolithography, Appl. Phys. Lett. 79(14), 2246–2248 (2001) T. Borzenko, M. Tormen, G. Schmidt, L. W. Molenkamp: Polymer bonding process for nanolithography, Appl. Phys. Lett. 79(14), 2246–2248 (2001)
8.140.
Zurück zum Zitat X. D. Huang, L.-R. Bao, X. Cheng, L. J. Guo, S. W. Panga, A. F. Yee: Reversal imprinting by transferring polymer from mold to substrate, J. Vac. Sci. Technol. B 20(6), 2872–2876 (2002) X. D. Huang, L.-R. Bao, X. Cheng, L. J. Guo, S. W. Panga, A. F. Yee: Reversal imprinting by transferring polymer from mold to substrate, J. Vac. Sci. Technol. B 20(6), 2872–2876 (2002)
8.144.
Zurück zum Zitat C.-Y. Chao, L. J. Guo: Polymer microring resonators fabricated by nanoimprint technique, J. Vac. Sci. Technol. B 20, 2862–2866 (2002) C.-Y. Chao, L. J. Guo: Polymer microring resonators fabricated by nanoimprint technique, J. Vac. Sci. Technol. B 20, 2862–2866 (2002)
8.147.
Zurück zum Zitat J. Tallal, D. Peyrade, F. Lazzarino, K. Berton, C. Perret, M. Gordon, C. Gourgon, P. Schiavone: Replication of sub-40 nm gap nanoelectrodes over an 8-in. substrate by nanoimprint lithography, Microelectron. Eng. 78–79, 676–681 (2005) J. Tallal, D. Peyrade, F. Lazzarino, K. Berton, C. Perret, M. Gordon, C. Gourgon, P. Schiavone: Replication of sub-40 nm gap nanoelectrodes over an 8-in. substrate by nanoimprint lithography, Microelectron. Eng. 78–79, 676–681 (2005)
8.150.
Zurück zum Zitat T. Nielsen, D. Nilsson, F. Bundgaard, P. Shi, P. Szabo, O. Geschke, A. Kristensen: Nanoimprint lithography in the cyclic olefin copolymer, Topas, a highly UV-transparent and chemically resistant thermoplast, J. Vac. Sci. Technol. B 22, 1770–1775 (2004) T. Nielsen, D. Nilsson, F. Bundgaard, P. Shi, P. Szabo, O. Geschke, A. Kristensen: Nanoimprint lithography in the cyclic olefin copolymer, Topas, a highly UV-transparent and chemically resistant thermoplast, J. Vac. Sci. Technol. B 22, 1770–1775 (2004)
8.151.
Zurück zum Zitat B. Simmons, B. Lapizco-Encinas, R. Shediac, J. Hachman, J. Chames, J. Brazzle, J. Ceremuga, G. Fiechtner, E. Cummings, Y. Fintschenko: Polymeric insulator-based (electrodeless) dielectrophoresis (iDEP) for the monitoring of water-borne pathogens, Proceedings of MicroTAS 2004, the Eight International Conference on Miniaturised Systems for Chemistry and Life Sciences, Malmö, Sweden 2004, ed. by T. Laurell, J. Nilsson, K. Jensen, D. J. Harrison, J. P. Kutter (Royal Society of Chemistry, Cambridge, United Kingdom 2004) 171–173 B. Simmons, B. Lapizco-Encinas, R. Shediac, J. Hachman, J. Chames, J. Brazzle, J. Ceremuga, G. Fiechtner, E. Cummings, Y. Fintschenko: Polymeric insulator-based (electrodeless) dielectrophoresis (iDEP) for the monitoring of water-borne pathogens, Proceedings of MicroTAS 2004, the Eight International Conference on Miniaturised Systems for Chemistry and Life Sciences, Malmö, Sweden 2004, ed. by T. Laurell, J. Nilsson, K. Jensen, D. J. Harrison, J. P. Kutter (Royal Society of Chemistry, Cambridge, United Kingdom 2004) 171–173
8.152.
Zurück zum Zitat D. Nilsson, S. Balslev, A. Kristensen: A microfluidic dye laser fabricated by nanoimprint lithography in a highly transparent and chemically resistant cyclo-olefin copolymer (COC), J. Micromech. Microeng. 15, 296–300 (2005) D. Nilsson, S. Balslev, A. Kristensen: A microfluidic dye laser fabricated by nanoimprint lithography in a highly transparent and chemically resistant cyclo-olefin copolymer (COC), J. Micromech. Microeng. 15, 296–300 (2005)
8.153.
Zurück zum Zitat K. Pfeiffer, M. Fink, G. Ahrens, G. Gruetzner, F. Reuther, J. Seekamp, S. Zankovych, C. M. Sotomayor Torres, I. Maximov, M. Beck, M. Graczyk, L. Montelius, H. Schulz, H.-C. Scheer, F. Steingrueber: Polymer stamps for nanoimprinting, Microelectron. Eng. 61–62, 393–398 (2002) K. Pfeiffer, M. Fink, G. Ahrens, G. Gruetzner, F. Reuther, J. Seekamp, S. Zankovych, C. M. Sotomayor Torres, I. Maximov, M. Beck, M. Graczyk, L. Montelius, H. Schulz, H.-C. Scheer, F. Steingrueber: Polymer stamps for nanoimprinting, Microelectron. Eng. 61–62, 393–398 (2002)
8.154.
Zurück zum Zitat M. Wissen, H. Schulz, N. Bogdanski, H.-C. Scheer, Y. Hirai, H. Kikuta, G. Ahrens, F. Reuther, K. Pfeiffer: UV curing of resists for warm embossing, Microelectron. Eng. 73–74, 184–189 (2004) M. Wissen, H. Schulz, N. Bogdanski, H.-C. Scheer, Y. Hirai, H. Kikuta, G. Ahrens, F. Reuther, K. Pfeiffer: UV curing of resists for warm embossing, Microelectron. Eng. 73–74, 184–189 (2004)
8.156.
Zurück zum Zitat A. Lebib, Y. Chen, E. Cambril, P. Youinou, V. Studer, M. Natali, A. Pepin, H. M. Janssen, R. P. Sijbesma: Room-temperature and low-pressure nanoimprint lithography, Microelectron. Eng. 61–62, 371–377 (2002) A. Lebib, Y. Chen, E. Cambril, P. Youinou, V. Studer, M. Natali, A. Pepin, H. M. Janssen, R. P. Sijbesma: Room-temperature and low-pressure nanoimprint lithography, Microelectron. Eng. 61–62, 371–377 (2002)
8.158.
Zurück zum Zitat C. G. Willson, R. A. Dammel, A. Reiser: Photoresist materials: A historical perspective, Proc. SPIE 3049, 28–41 (1997) C. G. Willson, R. A. Dammel, A. Reiser: Photoresist materials: A historical perspective, Proc. SPIE 3049, 28–41 (1997)
8.159.
Zurück zum Zitat M. D. Stewart, C. G. Willson: Photoresists. In: Encyclopedia of Materials: Science and Technology, ed. by K. H. J. Buschow, R.  W. Cahn, M.  C. Flemings, B. Ilschner, E. J. Kramer, S. Mahajan, P. Veyssière (Elsevier Science, Amsterdam 2001) pp. 6973–6978 M. D. Stewart, C. G. Willson: Photoresists. In: Encyclopedia of Materials: Science and Technology, ed. by K. H. J.  Buschow, R.  W. Cahn, M.  C. Flemings, B. Ilschner, E. J. Kramer, S. Mahajan, P. Veyssière (Elsevier Science, Amsterdam 2001) pp. 6973–6978
8.160.
Zurück zum Zitat M. Khoury, D. K. Ferry: Effect of molecular weight on poly(methyl methacrylate) resolution, J. Vac. Sci. Technol. B 14, 75–79 (1996) M. Khoury, D. K. Ferry: Effect of molecular weight on poly(methyl methacrylate) resolution, J. Vac. Sci. Technol. B 14, 75–79 (1996)
8.161.
Zurück zum Zitat K. Pfeiffer, G. Bleidiessel, G. Gruetzner, H. Schulz, T. Hoffmann, H.-C. Scheer, C. M. Sotomayor Torres, J. Ahopelto: Suitability of new polymer materials with adjustable glass temperature for nano-imprinting, Microelectron. Eng. 46, 431–434 (1999) K. Pfeiffer, G. Bleidiessel, G. Gruetzner, H. Schulz, T. Hoffmann, H.-C. Scheer, C. M. Sotomayor Torres, J. Ahopelto: Suitability of new polymer materials with adjustable glass temperature for nano-imprinting, Microelectron. Eng. 46, 431–434 (1999)
8.162.
Zurück zum Zitat K. Pfeiffer, M. Fink, G. Bleidiessel, G. Gruetzner, H. Schulz, H.-C. Scheer, T. Hoffmann, C. M. Sotomayor Torres, F. Gaboriau, C. Cardinaud: Novel linear and crosslinking polymers for nanoimprinting with high etch resistance, Microelectron. Eng. 53, 411–414 (2000) K. Pfeiffer, M. Fink, G. Bleidiessel, G. Gruetzner, H. Schulz, H.-C. Scheer, T. Hoffmann, C. M. Sotomayor Torres, F. Gaboriau, C. Cardinaud: Novel linear and crosslinking polymers for nanoimprinting with high etch resistance, Microelectron. Eng. 53, 411–414 (2000)
8.163.
Zurück zum Zitat F. Gaboriau, M. C. Peignon, G. Turban, C. Cardinaud, K. Pfeiffer, G. Bleidiessel, G. Grutzner: Etch behaviour of resists suitable for new patterning processes in nanotechnologies, Proc. CIP, Antibes, France 1999 F. Gaboriau, M. C. Peignon, G. Turban, C. Cardinaud, K. Pfeiffer, G. Bleidiessel, G. Grutzner: Etch behaviour of resists suitable for new patterning processes in nanotechnologies, Proc. CIP, Antibes, France 1999
8.164.
Zurück zum Zitat F. Gaboriau, M.-C. Peignon, A. Barreau, G. Turban, C. Cardinaud, K. Pfeiffer, G. Bleidiessel, G. Grutzner: High density fluorocarbon plasma etching of new resists suitable for nanoimprint lithography, Microelectron. Eng. 53, 501–505 (2000) F. Gaboriau, M.-C. Peignon, A. Barreau, G. Turban, C. Cardinaud, K. Pfeiffer, G. Bleidiessel, G. Grutzner: High density fluorocarbon plasma etching of new resists suitable for nanoimprint lithography, Microelectron. Eng. 53, 501–505 (2000)
8.165.
Zurück zum Zitat F. Gottschalch, T. Hoffmann, C. M. Sotomayor Torres, H. Schulz, H.-C. Scheer: Polymer issues in nanoimprinting technique, Solid State Elec. 43, 1079–1083 (1999) F. Gottschalch, T. Hoffmann, C. M. Sotomayor Torres, H. Schulz, H.-C. Scheer: Polymer issues in nanoimprinting technique, Solid State Elec. 43, 1079–1083 (1999)
8.166.
Zurück zum Zitat H. Schulz, H.-C. Scheer, T. Hoffmann, C. M. Sotomayor Torres, K. Pfeiffer, G. Bleidiessel, G. Grützner, C. Cardinaud, F. Gaboriau, M.-C. Peignon, J. Ahopelto, B. Heidari: New polymer materials for nanoimprinting, J. Vac. Sci. Technol. B 18(4), 1861–1865 (2000) H. Schulz, H.-C. Scheer, T. Hoffmann, C. M. Sotomayor Torres, K. Pfeiffer, G. Bleidiessel, G. Grützner, C. Cardinaud, F. Gaboriau, M.-C. Peignon, J. Ahopelto, B. Heidari: New polymer materials for nanoimprinting, J. Vac. Sci. Technol. B 18(4), 1861–1865 (2000)
8.167.
Zurück zum Zitat H. Schulz, D. Lyebyedyev, H.-C. Scheer, K. Pfeiffer, G. Bleidiessel, G. Grützner, J. Ahopelto: Master replication into thermosetting polymers for nanoimprinting, J. Vac. Sci. Technol. B 18(6), 3582–3585 (2000) H. Schulz, D. Lyebyedyev, H.-C. Scheer, K. Pfeiffer, G. Bleidiessel, G. Grützner, J. Ahopelto: Master replication into thermosetting polymers for nanoimprinting, J. Vac. Sci. Technol. B 18(6), 3582–3585 (2000)
8.168.
Zurück zum Zitat D. Lyebyedyev, H. Schulz, H.-C. Scheer: Characterisation of new thermosetting polymer materials for nanoimprint lithography, Mater. Sci. Eng. 15(1-2), 241–243 (2001) D. Lyebyedyev, H. Schulz, H.-C. Scheer: Characterisation of new thermosetting polymer materials for nanoimprint lithography, Mater. Sci. Eng. 15(1-2), 241–243 (2001)
8.169.
Zurück zum Zitat K. Pfeiffer, F. Reuther, M. Fink, G. Gruetzner, P. Carlberg, I. Maximov, L. Montelius, J. Seekamp, S. Zankovych, C. M. Sotomayor-Torres, H. Schulz, H.-C. Scheer: A comparison of thermally and photochemically cross-linked polymers for nanoimprinting, Microelectron. Eng. 67–68, 266–273 (2003) K. Pfeiffer, F. Reuther, M. Fink, G. Gruetzner, P. Carlberg, I. Maximov, L. Montelius, J. Seekamp, S. Zankovych, C. M. Sotomayor-Torres, H. Schulz, H.-C. Scheer: A comparison of thermally and photochemically cross-linked polymers for nanoimprinting, Microelectron. Eng. 67–68, 266–273 (2003)
8.170.
Zurück zum Zitat M. Colburn, I. Suez, B. J. Choi, M. Meissl, T. Bailey, S. V. Sreenivasan, J. G. Ekerdt, C. G. Willson: Characterization and modelling of volumetric and mechanical properties for step and flash imprint lithography photopolymers, J. Vac. Sci. Tech. B 19(6), 2685–2689 (2001) M. Colburn, I. Suez, B. J. Choi, M. Meissl, T. Bailey, S. V. Sreenivasan, J. G. Ekerdt, C. G. Willson: Characterization and modelling of volumetric and mechanical properties for step and flash imprint lithography photopolymers, J. Vac. Sci. Tech. B 19(6), 2685–2689 (2001)
8.171.
Zurück zum Zitat C. D. Schaper, A. Miahnahri: Polyvinyl alcohol templates for low cost, high resolution, complex printing, J. Vac. Sci. Technol. B 22(6), 3323–3326 (2002) C. D. Schaper, A. Miahnahri: Polyvinyl alcohol templates for low cost, high resolution, complex printing, J. Vac. Sci. Technol. B 22(6), 3323–3326 (2002)
8.172.
Zurück zum Zitat R. M. Reano, Y. P. Kong, H. Y. Low, L. Tan, F. Wang, S. W. Pang, A. F. Yee: Stability of functional polymers after plasticizer-assisted imprint lithography, J. Vac. Sci. Technol. B 22(6), 3294–3299 (2002) R. M. Reano, Y. P. Kong, H. Y. Low, L. Tan, F. Wang, S. W. Pang, A. F. Yee: Stability of functional polymers after plasticizer-assisted imprint lithography, J. Vac. Sci. Technol. B 22(6), 3294–3299 (2002)
8.173.
Zurück zum Zitat M. Köhler: Etching in Microsystem Technology (Wiley-VCH, Weinheim 1999) M. Köhler: Etching in Microsystem Technology (Wiley-VCH, Weinheim 1999)
8.174.
Zurück zum Zitat H. Schift, J. Gobrecht, B. Satilmis, J. Söchtig, F. Meier, W. Raupach: Nanoreplikation im Verbund: Ein Schweizer Netzwerk, Kunststoffe 94, 22–26 (2004) English version: Nanoreplication in a Network, Kunststoffe Plast Europe 94 (2004) 1–4 H. Schift, J. Gobrecht, B. Satilmis, J. Söchtig, F. Meier, W. Raupach: Nanoreplikation im Verbund: Ein Schweizer Netzwerk, Kunststoffe 94, 22–26 (2004) English version: Nanoreplication in a Network, Kunststoffe Plast Europe 94 (2004) 1–4
8.175.
Zurück zum Zitat H. Schift, S. Park, C.-G. Choi, C.-S. Kee, S.-P. Han, K.-B. Yoon, J. Gobrecht: Fabrication process for polymer photonic crystals using nanoimprint lithography, Nanotechnology 16, S261–S265 (2005) H. Schift, S. Park, C.-G. Choi, C.-S. Kee, S.-P. Han, K.-B. Yoon, J. Gobrecht: Fabrication process for polymer photonic crystals using nanoimprint lithography, Nanotechnology 16, S261–S265 (2005)
8.176.
Zurück zum Zitat S. Park, H. Schift, H. H. Solak, J. Gobrecht: Stamps for nanoimprint lithography by extreme ultraviolet interference lithography, J. Vac. Sci. Technol. B 22(6), 3246–3250 (2004) S. Park, H. Schift, H. H. Solak, J. Gobrecht: Stamps for nanoimprint lithography by extreme ultraviolet interference lithography, J. Vac. Sci. Technol. B 22(6), 3246–3250 (2004)
8.177.
Zurück zum Zitat K. A. Lister, B. G. Casey, P. S. Dobson, S. Thoms, D. S. Macintyre, C. D. W. Wilkinson, J. M. R. Weaver: Pattern transfer of a 23 nm-period grating and sub-15 nm dots into CVD diamond, Microelectron. Eng. 73–74, 319–322 (2004) K. A. Lister, B. G. Casey, P. S. Dobson, S. Thoms, D. S. Macintyre, C. D. W. Wilkinson, J. M. R. Weaver: Pattern transfer of a 23 nm-period grating and sub-15 nm dots into CVD diamond, Microelectron. Eng. 73–74, 319–322 (2004)
8.178.
Zurück zum Zitat J. Taniguchi, Y. Tokano, I. Miyamoto, M. Komuro, H. Hiroshima: Diamond nanoimprint lithography, Nanotechnology 13, 592–596 (2002) J. Taniguchi, Y. Tokano, I. Miyamoto, M. Komuro, H. Hiroshima: Diamond nanoimprint lithography, Nanotechnology 13, 592–596 (2002)
8.179.
Zurück zum Zitat Y. Hirai, S. Yoshida, N. Takagi, Y. Tanaka, H. Yabe, K. Sasaki, H. Sumitani, K. Yamamoto: High aspect pattern fabrication by nano imprint lithography using fine diamond mold, Jpn. J. Appl. Phys. 42(6B), 3863–3866 (2003) Y. Hirai, S. Yoshida, N. Takagi, Y. Tanaka, H. Yabe, K. Sasaki, H. Sumitani, K. Yamamoto: High aspect pattern fabrication by nano imprint lithography using fine diamond mold, Jpn. J. Appl. Phys. 42(6B), 3863–3866 (2003)
8.180.
Zurück zum Zitat S. W. Pang, T. Tamamura, M. Nakao, A. Ozawa, H. Masuda: Direct nano-printing on Al substrate using SiC mold, J. Vac. Sci. Technol. B 16, 1145 (1998) S. W. Pang, T. Tamamura, M. Nakao, A. Ozawa, H. Masuda: Direct nano-printing on Al substrate using SiC mold, J. Vac. Sci. Technol. B 16, 1145 (1998)
8.181.
Zurück zum Zitat J. Gao, M. B. Chan-Park, D. Xie, Y. Yan, W. Zhou, B. K. A. Ngoi, C. Y. Yue: UV embossing of submicron patterns on biocompatible polymeric films using a focused ion beam fabricated mold, Chem. Mater. 16(6), 956–958 (2004) J. Gao, M. B. Chan-Park, D. Xie, Y. Yan, W. Zhou, B. K. A. Ngoi, C. Y. Yue: UV embossing of submicron patterns on biocompatible polymeric films using a focused ion beam fabricated mold, Chem. Mater. 16(6), 956–958 (2004)
8.182.
Zurück zum Zitat M. M. Alkaisi, R. J. Blaikie, S. J. McNab: Low temperature nanoimprint lithography using silicon nitride molds, Microelectron. Eng. 57–58, 367–373 (2001) M. M. Alkaisi, R. J. Blaikie, S. J. McNab: Low temperature nanoimprint lithography using silicon nitride molds, Microelectron. Eng. 57–58, 367–373 (2001)
8.183.
Zurück zum Zitat Y. Hirai, S. Harada, S. Isaka, M. Kobayashi, Y. Tanaka: Nano-imprint lithography using replicated mold by Ni electroforming, Jpn. J. Appl. Phys. 41(6B), 4186–4189 (2002) Y. Hirai, S. Harada, S. Isaka, M. Kobayashi, Y. Tanaka: Nano-imprint lithography using replicated mold by Ni electroforming, Jpn. J. Appl. Phys. 41(6B), 4186–4189 (2002)
8.184.
Zurück zum Zitat Z. Yu, L. Chen, W. Wu, H. Ge, S. Y. Chou: Fabrication of nanoscale gratings with reduced line edge roughness using nanoimprint lithography, J. Vac. Sci. Technol. B 21(5), 2089–2092 (2003) Z. Yu, L. Chen, W. Wu, H. Ge, S. Y. Chou: Fabrication of nanoscale gratings with reduced line edge roughness using nanoimprint lithography, J. Vac. Sci. Technol. B 21(5), 2089–2092 (2003)
8.185.
Zurück zum Zitat N. Roos, H. Schulz, L. Bendfeldt, M. Fink, K. Pfeiffer, H.-C. Scheer: First and second generation purely thermoset stamps for hot embossing, Microelectron. Eng. 61–62, 399–405 (2002) N. Roos, H. Schulz, L. Bendfeldt, M. Fink, K. Pfeiffer, H.-C. Scheer: First and second generation purely thermoset stamps for hot embossing, Microelectron. Eng. 61–62, 399–405 (2002)
8.186.
Zurück zum Zitat N. Roos, H. Schulz, M. Fink, K. Pfeiffer, F. Osenberg, H.-C. Scheer: Performance of 4'' wafer-scale thermoset working stamps in hot embossing lithography, Proc. SPIE 4688, 232–239 (2002) N. Roos, H. Schulz, M. Fink, K. Pfeiffer, F. Osenberg, H.-C. Scheer: Performance of 4'' wafer-scale thermoset working stamps in hot embossing lithography, Proc. SPIE 4688, 232–239 (2002)
8.187.
Zurück zum Zitat M. Fink. Pfeiffer, G. Ahrens, G. Grützner, F. Reuther, J. Seekamp, S. Zankovych, C. M. Sotomayor Torres, I. Maximov, M. Beck, M. Graczyk, L. Montelius, H. Schulz, H.-C. Scheer, F. Steingrueber: Polymer stamps for nanoimprinting, Microelectron. Eng. 61–62, 393–398 (2002) M. Fink. Pfeiffer, G. Ahrens, G. Grützner, F. Reuther, J. Seekamp, S. Zankovych, C. M. Sotomayor Torres, I. Maximov, M. Beck, M. Graczyk, L. Montelius, H. Schulz, H.-C. Scheer, F. Steingrueber: Polymer stamps for nanoimprinting, Microelectron. Eng. 61–62, 393–398 (2002)
8.188.
Zurück zum Zitat H. Schift, S. Park, J. Gobrecht, S. Saxer, F. Meier, W. Raupach, K. Vogelsang: Hybrid bendable stamp copies for molding fabricated by nanoimprint, Microelectron. Eng. 78–79, 605–611 (2005) H. Schift, S. Park, J. Gobrecht, S. Saxer, F. Meier, W. Raupach, K. Vogelsang: Hybrid bendable stamp copies for molding fabricated by nanoimprint, Microelectron. Eng. 78–79, 605–611 (2005)
8.189.
Zurück zum Zitat R. W. Jaszewski, H. Schift, B. Schnyder, A. Schneuwly, P. Gröning: The deposition on anti-adhesive ultra-thin teflon-like films and their interaction with polymers during hot embossing, Appl. Surf. Sci. 143, 301–308 (1999) R. W. Jaszewski, H. Schift, B. Schnyder, A. Schneuwly, P. Gröning: The deposition on anti-adhesive ultra-thin teflon-like films and their interaction with polymers during hot embossing, Appl. Surf. Sci. 143, 301–308 (1999)
8.190.
Zurück zum Zitat R. W. Jaszewski, H. Schift, P. Gröning, G. Margaritondo: Properties of thin anti-adhesive films used for the replication of microstructures in polymers, Microelectron. Eng. 35, 381–384 (1997) R. W. Jaszewski, H. Schift, P. Gröning, G. Margaritondo: Properties of thin anti-adhesive films used for the replication of microstructures in polymers, Microelectron. Eng. 35, 381–384 (1997)
8.191.
Zurück zum Zitat U. Srinivasan, M. R. Houston, R. T. Howe, R. Maboudian: Alkyltrichlorosilane-based self-assembled monolayer films for stiction reduction in silicon micromachines, J. Microelectromech. Syst. 7, 252–260 (1998) U. Srinivasan, M. R. Houston, R. T. Howe, R. Maboudian: Alkyltrichlorosilane-based self-assembled monolayer films for stiction reduction in silicon micromachines, J. Microelectromech. Syst. 7, 252–260 (1998)
8.192.
Zurück zum Zitat H. Schulz, F. Osenberg, J. Engemann, H.-C. Scheer: Mask fabrication by nanoimprint lithography using antisticking layers, Proc. SPIE 3996, 244–249 (2000) H. Schulz, F. Osenberg, J. Engemann, H.-C. Scheer: Mask fabrication by nanoimprint lithography using antisticking layers, Proc. SPIE 3996, 244–249 (2000)
8.193.
Zurück zum Zitat M. Beck, M. Graczyk, I. Maximov, E.-L. Sarwe, T. G. I. Ling, M. Keil, L. Montelius: Improving stamps for 10 nm level wafer scale nanoimprint lithography, Microelectron. Eng. 61–62, 441–448 (2002) M. Beck, M. Graczyk, I. Maximov, E.-L. Sarwe, T. G. I. Ling, M. Keil, L. Montelius: Improving stamps for 10 nm level wafer scale nanoimprint lithography, Microelectron. Eng. 61–62, 441–448 (2002)
8.194.
Zurück zum Zitat S. Park, H. Schift, C. Padeste, A. Scheybal, T. Jung, B. Schnyder, R. Kötz, J. Gobrecht: Improved anti-adhesive coating for nanoimprint lithography by co-evaporation of tri- and monochlorosilanes, Mater. Res. Soc. Proc. EXS-2, 37–39 (2004) S. Park, H. Schift, C. Padeste, A. Scheybal, T. Jung, B. Schnyder, R. Kötz, J. Gobrecht: Improved anti-adhesive coating for nanoimprint lithography by co-evaporation of tri- and monochlorosilanes, Mater. Res. Soc. Proc. EXS-2, 37–39 (2004)
8.195.
Zurück zum Zitat H. Schift, S. Saxer, S. Park, C. Padeste, U. Pieles, J. Gobrecht: Controlled co-evaporation of silanes for nanoimprint stamps, Nanotechnology 16, S171–S175 (2005) H. Schift, S. Saxer, S. Park, C. Padeste, U. Pieles, J. Gobrecht: Controlled co-evaporation of silanes for nanoimprint stamps, Nanotechnology 16, S171–S175 (2005)
8.196.
Zurück zum Zitat M. Keil, M. Beck, G. Frennesson, E. Theander, E. Bolmsjö, L. Montelius, B. Heidari: Process development and characterization of antisticking layers on nickel-based stamps designed for nanoimprint lithography, J. Vac. Sci. Technol. B 22(6), 3283–3287 (2002) M. Keil, M. Beck, G. Frennesson, E. Theander, E. Bolmsjö, L. Montelius, B. Heidari: Process development and characterization of antisticking layers on nickel-based stamps designed for nanoimprint lithography, J. Vac. Sci. Technol. B 22(6), 3283–3287 (2002)
8.197.
Zurück zum Zitat S. Park, H. Schift, C. Padeste, B. Schnyder, R. Kötz, J. Gobrecht: Anti-adhesive layers on nickel stamps for nanoimprint lithography, Microelectron. Eng. 73–74, 196–201 (2004) S. Park, H. Schift, C. Padeste, B. Schnyder, R. Kötz, J. Gobrecht: Anti-adhesive layers on nickel stamps for nanoimprint lithography, Microelectron. Eng. 73–74, 196–201 (2004)
8.199.
Zurück zum Zitat B. Heidari, I. Maximov, E.-L. Sarwe, L. Montelius: Large scale nanolithography using imprint lithography, J. Vac. Sci. Technol. B 17, 2961–2964 (1999) B. Heidari, I. Maximov, E.-L. Sarwe, L. Montelius: Large scale nanolithography using imprint lithography, J. Vac. Sci. Technol. B 17, 2961–2964 (1999)
8.200.
Zurück zum Zitat B. Heidari, I. Maximov, L. Montelius: Nanoimprint lithography at the 6 in. wafer scale, J. Vac. Sci. Technol. B 18(6), 3557–3560 (2000) B. Heidari, I. Maximov, L. Montelius: Nanoimprint lithography at the 6 in. wafer scale, J. Vac. Sci. Technol. B 18(6), 3557–3560 (2000)
8.201.
Zurück zum Zitat N. Roos, T. Luxbacher, T. Glinsner, K. Pfeiffer, H. Schulz, H.-C. Scheer: Nanoimprint lithography with a commercial 4 inch bond system for hot embossing, SPIE 4343, 427–436 (2001) N. Roos, T. Luxbacher, T. Glinsner, K. Pfeiffer, H. Schulz, H.-C. Scheer: Nanoimprint lithography with a commercial 4 inch bond system for hot embossing, SPIE 4343, 427–436 (2001)
8.202.
Zurück zum Zitat C. Gourgon, C. Perret, J. Tallal, F. Lazzarino, S. Landis, O. Joubert, R. Pelzer: Uniformity across 200 mm silicon wafers printed by nanoimprint lithography, J. Phys. D: Appl. Phys. 38, 70–73 (2005) C. Gourgon, C. Perret, J. Tallal, F. Lazzarino, S. Landis, O. Joubert, R. Pelzer: Uniformity across 200 mm silicon wafers printed by nanoimprint lithography, J. Phys. D: Appl. Phys. 38, 70–73 (2005)
8.203.
Zurück zum Zitat L. Bendfeldt, H. Schulz, N. Roos, H.-C. Scheer: Groove design of vacuum chucks for hot embossing lithography, Microelectron. Eng. 61–62, 455–459 (2002) L. Bendfeldt, H. Schulz, N. Roos, H.-C. Scheer: Groove design of vacuum chucks for hot embossing lithography, Microelectron. Eng. 61–62, 455–459 (2002)
8.204.
Zurück zum Zitat T. Haatainen, J. Ahopelto, G. Grützner, M. Fink, K. Pfeiffer: Step & stamp imprint lithography using a commercial flip chip bonder, Proc. SPIE 3997, 874–879 (2000) T. Haatainen, J. Ahopelto, G. Grützner, M. Fink, K. Pfeiffer: Step & stamp imprint lithography using a commercial flip chip bonder, Proc. SPIE 3997, 874–879 (2000)
8.205.
Zurück zum Zitat H. Tana, A. Gilbertson, S. Y. Chou: Roller nanoimprint lithography, J. Vac. Sci. Technol. B 16(6), 3926–3928 (1998) H. Tana, A. Gilbertson, S. Y. Chou: Roller nanoimprint lithography, J. Vac. Sci. Technol. B 16(6), 3926–3928 (1998)
8.206.
Zurück zum Zitat L. Olsson: Method and device for transferring a pattern. European patent PCT/SE2003/001003 (2002) L. Olsson: Method and device for transferring a pattern. European patent PCT/SE2003/001003 (2002)
8.207.
Zurück zum Zitat M. Tormen: A nano impression lithographic process which involves the use of a die having a region able to generate heat. European patent PCT/IB2004/002120 (2004) M. Tormen: A nano impression lithographic process which involves the use of a die having a region able to generate heat. European patent PCT/IB2004/002120 (2004)
8.208.
Zurück zum Zitat S. Y. Chou, C. Keimel, J. Gu: Ultrafast and direct imprint of nanostructures in silicon, Nature 417, 835–837 (2002) S. Y. Chou, C. Keimel, J. Gu: Ultrafast and direct imprint of nanostructures in silicon, Nature 417, 835–837 (2002)
8.209.
Zurück zum Zitat J. J. Shamaly, V. F. Bunze: I-line to DUV transition for critical levels, Microelectron. Eng. 30, 87–93 (1996) J. J. Shamaly, V. F. Bunze: I-line to DUV transition for critical levels, Microelectron. Eng. 30, 87–93 (1996)
8.211.
Zurück zum Zitat D. Wachenschwanz, W. Jiang, E. Roddick, A. Homola, P. Dorsey, B. Harper, D. Treves, C. Bajorek: Design of a manufacturable discrete track recording medium, IEEE Trans. Mag. 41, 670–675 (2005) D. Wachenschwanz, W. Jiang, E. Roddick, A. Homola, P. Dorsey, B. Harper, D. Treves, C. Bajorek: Design of a manufacturable discrete track recording medium, IEEE Trans. Mag. 41, 670–675 (2005)
8.212.
Zurück zum Zitat G. M. McClelland, M. W. Hart, C. T. Rettner, M. E. Best, K. R. Carter, B. D. Terris: Nanoscale patterning of magnetic islands by imprint lithography using a flexible mold, Appl. Phys. Lett. 81, 1483–1485 (2002) G. M. McClelland, M. W. Hart, C. T. Rettner, M. E. Best, K. R. Carter, B. D. Terris: Nanoscale patterning of magnetic islands by imprint lithography using a flexible mold, Appl. Phys. Lett. 81, 1483–1485 (2002)
8.213.
Zurück zum Zitat G. F. Cardinale, J. L. Skinner, A. A. Talin, R. W. Brocato, D. W. Palmer, D. P. Mancini, W. J. Dauksher, K. Gehoski, N. Le, K. J. Nordquist, D. J. Resnick: Fabrication of a surface acoustic wave-based correlator using step-and-flash imprint lithography, J. Vac. Sci. Technol. B 22, 3265–3270 (2004) G. F. Cardinale, J. L. Skinner, A. A. Talin, R. W. Brocato, D. W. Palmer, D. P. Mancini, W. J. Dauksher, K. Gehoski, N. Le, K. J. Nordquist, D. J. Resnick: Fabrication of a surface acoustic wave-based correlator using step-and-flash imprint lithography, J. Vac. Sci. Technol. B 22, 3265–3270 (2004)
8.214.
Zurück zum Zitat S.-W. Ahn, K.-D. Lee, J.-S. Kim, S. H. Kim, S. H. Lee, J.-D. Park, P.-W. Yoon: Fabrication of subwavelength aluminum wire grating using nanoimprint lithography and reactive ion etching, Microelectron. Eng. 78–79, 314–318 (2005) S.-W. Ahn, K.-D. Lee, J.-S. Kim, S. H. Kim, S. H. Lee, J.-D. Park, P.-W. Yoon: Fabrication of subwavelength aluminum wire grating using nanoimprint lithography and reactive ion etching, Microelectron. Eng. 78–79, 314–318 (2005)
8.215.
Zurück zum Zitat M. Tormen, L. Businaro, M. Altissimo, F. Romanato, S. Cabrini, F. Perennes, R. Proietti, Hong-Bo Sun, S. Kawata, E. Di Fabrizio: 3-D patterning by means of nanoimprinting, X-ray and two-photon lithography, Microelectron. Eng. 73–74, 535–541 (2004) M. Tormen, L. Businaro, M. Altissimo, F. Romanato, S. Cabrini, F. Perennes, R. Proietti, Hong-Bo Sun, S. Kawata, E. Di Fabrizio: 3-D patterning by means of nanoimprinting, X-ray and two-photon lithography, Microelectron. Eng. 73–74, 535–541 (2004)
8.216.
Zurück zum Zitat J. Seekamp, S. Zankovych, A. H. Helfer, P. Maury, C. M. Sotomayor Torres, G. Böttger, C. Liguda, M. Eich, B. Heidari, L. Montelius, J. Ahopelto: Nanoimprinted passive optical devices, Nanotechnology 13, 581–586 (2002) J. Seekamp, S. Zankovych, A. H. Helfer, P. Maury, C. M. Sotomayor Torres, G. Böttger, C. Liguda, M. Eich, B. Heidari, L. Montelius, J. Ahopelto: Nanoimprinted passive optical devices, Nanotechnology 13, 581–586 (2002)
8.217.
Zurück zum Zitat C. M. Sotomayor Torres, S. Zankovych, J. Seekamp, A. P. Kam, C. Clavijo Cedeño, T. Hoffmann, J. Ahopelto, F. Reuther, K. Pfeiffer, G. Bleidiessel, G. Gruetzner, M. V. Maximov, B. Heidari: Nanoimprint lithography: An alternative nanofabrication approach, Mater. Sci. Eng. C 23, 23–31 (2003) C. M. Sotomayor Torres, S. Zankovych, J. Seekamp, A. P. Kam, C. Clavijo Cedeño, T. Hoffmann, J. Ahopelto, F. Reuther, K. Pfeiffer, G. Bleidiessel, G. Gruetzner, M. V. Maximov, B. Heidari: Nanoimprint lithography: An alternative nanofabrication approach, Mater. Sci. Eng. C 23, 23–31 (2003)
8.218.
Zurück zum Zitat T. Nielsen, D. Nilsson, F. Bundgaard, P. Shi, P. Szabo, O. Geschke, A. Kristensen: Nanoimprint lithography in the cyclic olefin copolymer, Topas, a highly UV-transparent and chemically resistant thermoplast, J. Vac. Sci. Technol. B 22, 1770–1775 (2004) T. Nielsen, D. Nilsson, F. Bundgaard, P. Shi, P. Szabo, O. Geschke, A. Kristensen: Nanoimprint lithography in the cyclic olefin copolymer, Topas, a highly UV-transparent and chemically resistant thermoplast, J. Vac. Sci. Technol. B 22, 1770–1775 (2004)
8.219.
Zurück zum Zitat J. Wang, X. Sun, L. Chen, S. Y. Chou: Direct nanoimprint of submicron organic light-emitting structures, Appl. Phys. Lett. 75, 2767–2769 (1999) J. Wang, X. Sun, L. Chen, S. Y. Chou: Direct nanoimprint of submicron organic light-emitting structures, Appl. Phys. Lett. 75, 2767–2769 (1999)
8.220.
Zurück zum Zitat X. Cheng, Y. Hong, J. Kanicki, L. J. Guo: High-resolution organic polymer light-emitting pixels fabricated by imprinting technique, J. Vac. Sci. Technol. B 20, 2877–2880 (2002) X. Cheng, Y. Hong, J. Kanicki, L. J. Guo: High-resolution organic polymer light-emitting pixels fabricated by imprinting technique, J. Vac. Sci. Technol. B 20, 2877–2880 (2002)
8.221.
Zurück zum Zitat D. Pisignano, L. Persano, E. Mele, P. Visconti, R. Cingolani, G. Gigli, G. Barbarella, L. Favaretto: Emission properties of printed organic semiconductor lasers, Opt. Lett. 30, 260–262 (1995) D. Pisignano, L. Persano, E. Mele, P. Visconti, R. Cingolani, G. Gigli, G. Barbarella, L. Favaretto: Emission properties of printed organic semiconductor lasers, Opt. Lett. 30, 260–262 (1995)
8.222.
Zurück zum Zitat D. Nilsson, T. Nielsen, A. Kristensen: Solid state micro-cavity dye lasers fabricated by nanoimprint lithography, Rev. Sci. Instr. 75, 4481–4486 (2004) D. Nilsson, T. Nielsen, A. Kristensen: Solid state micro-cavity dye lasers fabricated by nanoimprint lithography, Rev. Sci. Instr. 75, 4481–4486 (2004)
8.223.
Zurück zum Zitat D. Nilsson, S. Balslev, A. Kristensen: A microfluidic dye laser fabricated by nanoimprint lithography in a highly transparent and chemically resistant cyclo-olefin copolymer (COC), J. Micromech. Microeng. 15, 296–300 (2005) D. Nilsson, S. Balslev, A. Kristensen: A microfluidic dye laser fabricated by nanoimprint lithography in a highly transparent and chemically resistant cyclo-olefin copolymer (COC), J. Micromech. Microeng. 15, 296–300 (2005)
8.224.
Zurück zum Zitat C. Clavijo Cedeno, J. Seekamp, A. P. Kam, T. Hoffmann, S. Zankovych, C. M. Sotomayor Torres, C. Menozzi, M. Cavallini, M. Murgia, G. Ruani, F. Biscarini, M. Behl, R. Zentel, J. Ahopelto: Nanoimprint lithography for organic electronics, Microelectron. Eng. 61–62, 25–31 (2002) C. Clavijo Cedeno, J. Seekamp, A. P. Kam, T. Hoffmann, S. Zankovych, C. M. Sotomayor Torres, C. Menozzi, M. Cavallini, M. Murgia, G. Ruani, F. Biscarini, M. Behl, R. Zentel, J. Ahopelto: Nanoimprint lithography for organic electronics, Microelectron. Eng. 61–62, 25–31 (2002)
8.225.
Zurück zum Zitat A. Manz, N. Graber, H. M. Widmer: Miniaturized total chemical analysis systems: A novel concept for chemical sensing, Sens. Actuators B1, 244–248 (1990) A. Manz, N. Graber, H. M. Widmer: Miniaturized total chemical analysis systems: A novel concept for chemical sensing, Sens. Actuators B1, 244–248 (1990)
8.226.
Zurück zum Zitat E. Verpoorte, N. F. De Rooij: Microfluidics meets MEMS, Proc. IEEE 91, 930–953 (2003) E. Verpoorte, N. F. De Rooij: Microfluidics meets MEMS, Proc. IEEE 91, 930–953 (2003)
8.227.
Zurück zum Zitat A. Pepin, P. Youinou, V. Studer, A. Lebib, Y. Chen: Nanoimprint lithography for the fabrication of DNA electrophoresis chips, Microelectron. Eng. 61–62, 927–932 (2002) A. Pepin, P. Youinou, V. Studer, A. Lebib, Y. Chen: Nanoimprint lithography for the fabrication of DNA electrophoresis chips, Microelectron. Eng. 61–62, 927–932 (2002)
8.228.
Zurück zum Zitat J. O. Tegenfeldt, C. Prinz, H. Cao, R. L. Huang, R. H. Austin, S. Y. Chou, E. C. Cox, J. C. Sturm: Micro- and nanofluidics for DNA analysis, Anal. Bioanal. Chem. 378, 1678–1692 (2004) J. O. Tegenfeldt, C. Prinz, H. Cao, R. L. Huang, R. H. Austin, S. Y. Chou, E. C. Cox, J. C. Sturm: Micro- and nanofluidics for DNA analysis, Anal. Bioanal. Chem. 378, 1678–1692 (2004)
8.229.
Zurück zum Zitat S. Y. Chou: Patterned Magnetic Nanostructures and Quantized Magnetic Disks, Proc. IEEE 85, 652–671 (1997) S. Y. Chou: Patterned Magnetic Nanostructures and Quantized Magnetic Disks, Proc. IEEE 85, 652–671 (1997)
8.230.
Zurück zum Zitat M. N. Baibich, J. M. Broto, A. Fert, F. Nguyen Van Dau, F. Petroff, P. Eitenne, G. Creuzet, A. Friederich, J. Chazelas: Giant magnetoresistance of (001)Fe/(001)Cr magnetic superlattices, Phys. Rev. Lett. 61, 2472–2475 (1988) M. N. Baibich, J. M. Broto, A. Fert, F. Nguyen Van Dau, F. Petroff, P. Eitenne, G. Creuzet, A. Friederich, J. Chazelas: Giant magnetoresistance of (001)Fe/(001)Cr magnetic superlattices, Phys. Rev. Lett. 61, 2472–2475 (1988)
8.231.
Zurück zum Zitat Y. Li, A. K. Menon: Magnetic recording technologies: Overview. In: Encyclopedia of Materials: Science and Technology, ed. by K. H. J. Buschow, R. W. Cahn, M. C. Flemings, B. Ilschner, E. J. Kramer, S. Mahajan, P. Veyssière (Elsevier, Amsterdam 2001) pp. 4948–4957 Y. Li, A. K. Menon: Magnetic recording technologies: Overview. In: Encyclopedia of Materials: Science and Technology, ed. by K. H. J. Buschow, R. W. Cahn, M. C. Flemings, B.  Ilschner, E. J. Kramer, S. Mahajan, P. Veyssière (Elsevier, Amsterdam 2001) pp. 4948–4957
8.232.
Zurück zum Zitat L. F. Shew: Discrete tracks for saturation magnetic recording, IEEE Trans. Broadcast Television Recievers BTR-9, 56–62 (1963) L. F. Shew: Discrete tracks for saturation magnetic recording, IEEE Trans. Broadcast Television Recievers BTR-9, 56–62 (1963)
8.233.
Zurück zum Zitat A. K. Menon: Interface tribology for 100 Gb/in2, Tribology Int. 33, 299–308 (2000) A. K. Menon: Interface tribology for 100 Gb/in2, Tribology Int. 33, 299–308 (2000)
8.234.
Zurück zum Zitat Y. Soeno, M. Moriya, K. Ito, K. Hattori, A. Kaizu, T. Aoyama, M. Matsuzaki, H. Sakai: Feasibility of discrete track perpendicular media for high track density recording, IEEE Trans. Magn. 39, 1967–1971 (2003) Y. Soeno, M. Moriya, K. Ito, K. Hattori, A. Kaizu, T. Aoyama, M. Matsuzaki, H. Sakai: Feasibility of discrete track perpendicular media for high track density recording, IEEE Trans. Magn. 39, 1967–1971 (2003)
8.235.
Zurück zum Zitat S. Y. Chou, M. Wei, P. R. Krauss, P. B. Fisher: Study of nanoscale magnetic structures fabricated using electron beam lithography and quantum magnetic disk, J. Vac. Sci. Technol. B 12, 3695–3698 (1994) S. Y. Chou, M. Wei, P. R. Krauss, P. B. Fisher: Study of nanoscale magnetic structures fabricated using electron beam lithography and quantum magnetic disk, J. Vac. Sci. Technol. B 12, 3695–3698 (1994)
8.236.
Zurück zum Zitat R. L. White, R. M. H. Newt, R. F. W. Pease: Patterned media: A viable route to 50 Gbit/in2 and up for magnetic recording?, IEEE Trans. Magn. 33, 990–995 (1997) R. L. White, R. M. H. Newt, R. F. W. Pease: Patterned media: A viable route to 50 Gbit/in2 and up for magnetic recording?, IEEE Trans. Magn. 33, 990–995 (1997)
8.237.
Zurück zum Zitat W. Wu, B. Cui, X.-Y. Sun, W. Zhang, L. Zhuang, L. Kong, S. Y. Chou: Large area high density quantized magnetic disks fabricated using nanoimprint lithography, J. Vac. Sci. Technol. B 16, 3825–3829 (1998) W. Wu, B. Cui, X.-Y. Sun, W. Zhang, L. Zhuang, L. Kong, S. Y. Chou: Large area high density quantized magnetic disks fabricated using nanoimprint lithography, J. Vac. Sci. Technol. B 16, 3825–3829 (1998)
8.238.
Zurück zum Zitat M. Natali, A. Lebib, E. Cambril, Y. Chen, I. L. Prejbeanu, K. Ounadjela: Nanoimprint lithography of high-density cobalt dot patterns for fine tuning of dipole interactions, J. Vac. Sci. Technol. B 19, 2779–2783 (2001) M. Natali, A. Lebib, E. Cambril, Y. Chen, I. L. Prejbeanu, K. Ounadjela: Nanoimprint lithography of high-density cobalt dot patterns for fine tuning of dipole interactions, J. Vac. Sci. Technol. B 19, 2779–2783 (2001)
8.239.
Zurück zum Zitat J. Moritz, B. Dieny, J. P. Nozieres, S. Landis, A. Lebib, Y. Chen: Domain structure in magnetic dots prepared by nanoimprint and e-beam lithography, J. Appl. Phys. 91, 7314–7316 (2002) J. Moritz, B. Dieny, J. P. Nozieres, S. Landis, A. Lebib, Y. Chen: Domain structure in magnetic dots prepared by nanoimprint and e-beam lithography, J. Appl. Phys. 91, 7314–7316 (2002)
8.240.
Zurück zum Zitat P. Lalanne, M. Hutley: Artificial media optical properties – subwavelength scale. In: Enclopedia of Optical Engineering, ed. by R. Driggers (Dekker, New York 2003) pp. 62–71 P. Lalanne, M. Hutley: Artificial media optical properties – subwavelength scale. In: Enclopedia of Optical Engineering, ed. by R. Driggers (Dekker, New York 2003) pp. 62–71
8.241.
Zurück zum Zitat Z. Yu, W. Wu, L. Chen, S. Chou: Fabrication of large area 100 nm pitch grating by spatial frequency doubling and nanoimprint lithography for subwavelength optical applications, J. Vac. Sci. Technol. B 19, 2816–2819 (2001) Z. Yu, W. Wu, L. Chen, S. Chou: Fabrication of large area 100 nm pitch grating by spatial frequency doubling and nanoimprint lithography for subwavelength optical applications, J. Vac. Sci. Technol. B 19, 2816–2819 (2001)
8.244.
Zurück zum Zitat L. J. Guo, X. Cheng, C. Y. Chao: Fabrication of photonic nanostructures in nonlinear optical polymers, J. Mod. Opt. 49, 663–673 (2002) L. J. Guo, X. Cheng, C. Y. Chao: Fabrication of photonic nanostructures in nonlinear optical polymers, J. Mod. Opt. 49, 663–673 (2002)
8.245.
Zurück zum Zitat C.-Y. Chao, L. J. Guo: Reduction of surface scattering loss in polymer microrings using thermal-reflow technique, IEEE Photonics Technol. Lett. 16, 1498–1500 (2004) C.-Y. Chao, L. J. Guo: Reduction of surface scattering loss in polymer microrings using thermal-reflow technique, IEEE Photonics Technol. Lett. 16, 1498–1500 (2004)
8.246.
Zurück zum Zitat H. C. Hoch, L. W. Jelinski, H. C. Craighead (eds): Nanofabrication and Biosystems: Integrating Materials Science, Engineering, and Biology (Cambridge Univ. Press, Cambridge 1996) H. C. Hoch, L. W. Jelinski, H. C. Craighead (eds): Nanofabrication and Biosystems: Integrating Materials Science, Engineering, and Biology (Cambridge Univ. Press, Cambridge 1996)
8.247.
Zurück zum Zitat H. G. Craighead: Nanoelectromechanical systems, Science 290, 1532–1535 (2000) H. G. Craighead: Nanoelectromechanical systems, Science 290, 1532–1535 (2000)
8.248.
Zurück zum Zitat L. R. Huang, J. O. Tegenfeldt, J. J. Kraeft, J. C. Sturm, R. H. Austin, E. C. Cox: A DNA prism for high-speed continous frationation of large DNA molecules, Nature Biotechnol. 20, 1048–1051 (2002) L. R. Huang, J. O. Tegenfeldt, J. J. Kraeft, J. C. Sturm, R. H. Austin, E. C. Cox: A DNA prism for high-speed continous frationation of large DNA molecules, Nature Biotechnol. 20, 1048–1051 (2002)
8.249.
Zurück zum Zitat H. G. Craighead: Nanostructure science and technology: impact and prospects for biology, J. Vac. Sci. Technol. A 21, S216–S221 (2003) H. G. Craighead: Nanostructure science and technology: impact and prospects for biology, J. Vac. Sci. Technol. A 21, S216–S221 (2003)
8.250.
Zurück zum Zitat J. O. Tegenfeldt, C. Prinz, H. Cao, S. Chou, W. W. Reisner, R. Riehn, Y. M. Wang, E. C. Cox, J. C. Sturm, P. Silberzan, R. H. Austin: The dynamics of genomic-length DNA molecules in 100-nm channels, Proc. Nat. Acad. Sci. USA 101, 10979–10983 (2004) J. O. Tegenfeldt, C. Prinz, H. Cao, S. Chou, W. W. Reisner, R. Riehn, Y. M. Wang, E. C. Cox, J. C. Sturm, P. Silberzan, R. H. Austin: The dynamics of genomic-length DNA molecules in 100-nm channels, Proc. Nat. Acad. Sci. USA 101, 10979–10983 (2004)
8.251.
Zurück zum Zitat L. J. Guo, X. Cheng, C.-F. Chou: Fabrication of size-controllable nanofluidic channels by nanoimprinting and its application for DNA stretching, Nano Lett. 4, 69–73 (2004) L. J. Guo, X. Cheng, C.-F. Chou: Fabrication of size-controllable nanofluidic channels by nanoimprinting and its application for DNA stretching, Nano Lett. 4, 69–73 (2004)
8.252.
Zurück zum Zitat C. Bustamante, J. F. Marko, E. D. Siggia, S. Smith: Entropic elasticity of λ-phage DNA, Science 265, 1599–1600 (1994) C. Bustamante, J. F. Marko, E. D. Siggia, S. Smith: Entropic elasticity of λ-phage DNA, Science 265, 1599–1600 (1994)
Metadaten
Titel
Nanoimprint Lithography
verfasst von
Helmut Schift, Dr.
Anders Kristensen, Prof.
Copyright-Jahr
2007
Verlag
Springer Berlin Heidelberg
DOI
https://doi.org/10.1007/978-3-540-29857-1_8

    Marktübersichten

    Die im Laufe eines Jahres in der „adhäsion“ veröffentlichten Marktübersichten helfen Anwendern verschiedenster Branchen, sich einen gezielten Überblick über Lieferantenangebote zu verschaffen.