Skip to main content

1998 | Buch

Fundamental Aspects of Ultrathin Dielectrics on Si-based Devices

herausgegeben von: Eric Garfunkel, Evgeni Gusev, Alexander Vul’

Verlag: Springer Netherlands

Buchreihe : NATO ASI Series

insite
SUCHEN

Über dieses Buch

An extrapolation of ULSI scaling trends indicates that minimum feature sizes below 0.1 mu and gate thicknesses of <3 nm will be required in the near future. Given the importance of ultrathin gate dielectrics, well-focused basic scientific research and aggressive development programs must continue on the silicon oxide, oxynitride, and high K materials on silicon systems, especially in the critical, ultrathin 1-3 nm regime. The main thrust of the present book is a review, at the nano and atomic scale, the complex scientific issues related to the use of ultrathin dielectrics in next-generation Si-based devices. The contributing authors are leading scientists, drawn from academic, industrial and government laboratories throughout the world, and representing such backgrounds as basic and applied physics, chemistry, electrical engineering, surface science, and materials science.
Audience: Both expert scientists and engineers who wish to keep up with cutting edge research, and new students who wish to learn more about the exciting basic research issues relevant to next-generation device technology.

Inhaltsverzeichnis

Frontmatter

Introduction

Ultrathin Dielectrics in Silicon Microelectronics
An overview

The paper reviews recent progress and current scientific issues ultrathin gate dielectrics on silicon based devices. We discuss microstructural aspects and electrical defects of the Si02/Si interface, oxidation mechanism, the very initial stages of the interaction of oxygen with silicon surfaces in the “surface science” limit, roughness at the SiO2/Si interface and roughening that occurs during initial oxidation under certain conditions, hydrogen and deuterium in thin Si02 films, silicon oxynitridation and nitridation, “alternative” high-K dielectrics, and processing issues.

L C Feldman, E. P. Gusev, E. Garfunkel

Section 1. Recent advances in experimental studies of SiO2films on Si

Study of the Si/SiO2 Interface Using Positrons:
Present Status and Prospects

In this paper we consider the potential of positrons for the study of defects in the MOS system. After a brief introduction on the fundamentals of the transport and annihilation of positrons in solids, we will deal with the techniques to drive the positrons towards the Si/SiO2 interface. To illustrate the nature of the information obtained from positron experiments, we discuss experimental results that reveal a correlation between the positron annihilation data and the generation rate of interface state due to hydrogen release. We will also deal with the prospects of positron studies to provide more detailed information on the chemical nature and microscopic structure of defects.

J. M. M. De Nijs, M. Clement
Medium Energy Ion Scattering Studies of Silicon Oxidation and Oxynitridation

The paper reviews some of our recent high resolution medium energy ion scattering (MEIS) experiments on mechanistic and structural aspects of ultrathin (<5 nm) dielectric films (oxides, SiO2, and oxynitrides, SiO.NY) thermally grown on silicon surfaces. The growth mechanism of ultrathin films O using isotopic (16O2/18O2) labeling methods, the transition region near the oxide/substrate interface, and silicon oxynitridation in N2O and NO are discussed.

E. Garfunkel, E. P. Gusev, H. C. Lu, T. Gustafsson, M. L. Green
Synchrotron and Conventional Photoemission Studies of Oxides and N20 Oxynitrides

One of the most critical materials in developing deep submicron integrated circuits is high-quality ultrathin (~ a few nm) gate dielectric film. In such a thin film, the microscopic structure is expected to play a critical role in many aspects of the film’s properties, device performance and reliability. The importance of the dielectric-silicon interface has been recognized for many years as one of the primary issues in the semiconductor industry. In fact, it is such a unique interface that it makes the silicon metal-oxide-semiconductor (MOS) transistors possible and the Si industry such a phenomenal success. Thus there has been a tremendous amount of research conducted into its electrical properties over the last few decades [1]. The microscopic structure of the interface, however, has not been studied in great detail partly because advanced probing techniques such as synchrotron radiation photoemission spectroscopy (PES) were not available until recent years, and partly because the importance of microstructural information became apparent only in recent years when the Si industry began pushing for a deep submicron ULSI device with the gate dielectric approaching several atomic layers. Between a crystalline Si substrate and an amorphous oxide overlayer, the interface transition region consists of a mixture of Si in various oxidation states denoted as Si+1, Si+2, and Si+3 where the Si atom has one, two, and three firstnearest-neighbor oxygen atoms, respectively.

Z. H. Lu
Stress in The SiO2/Si Structures Formed by Thermal Oxidation

Contemporary microelectronics is based on silicon devices, which involve SiO2/Si structure, and hence a thin SiO2/Si interface existing between the oxide and the Si substrate. To obtain the optimum Si-based device performance the dimensions of the elements have been reduced significantly to almost the technological limit. This miniaturization of elements has required also a drastic reduction of the SiO2 film thickness up to few tens of nanometers and, therefore, the interface becomes a significant part of the whole oxide. Further reduction of the oxide thickness, however, creates serious problems connected with device reliability. One of the problems is related to the high internal stresses induced in SiO2 films during oxidation process. The effect of this stress on Si oxidation kinetics has received considerable attention in the 1980s. In 1986 a special Workshop on Oxidation Mechanisms was organized treating growth mechanism of thin SiO2 and influence of stress on Si oxidation kinetics. Some of the papers presented at this Workshop were published in a special issue of Philosophical Magazine [1]. These topics still remain in the focus of extensive investigation due to anomalous phenomena observed at low oxidation temperatures and in the initial regime of Si oxidation [1-7]. The knowledge of structural strains and their eventual reduction and control gains growing technological importance, especially for ultrathin Si02 films, where the oxidation induced stress may deteriorate the device characteristics.

A. Szekeres

Section 2. Theory of the SiO2/Si and SiOxNy/Si systems

Modelling the Oxide and the Oxidation Process
Can silicon oxidation be solved?

The moves to miniaturisation and hence to thinner oxides (or successor materials), with the increased power of modelling, and with new information available from techniques like scanning probe methods, suggest it might be timely to face a major challenge. Is it possible to define what the best oxide would be like, to estimate its performance (especially its failure), and to predict the conditions which should lead to that optimum oxide? Clearly, for this to be achieved, it is essential to understand the key processes and to make accurate (but not necessarily first principles) quantitative predictions, as well as chosen experiments. This paper discusses some of the key questions and ideas: those of the growth processes, including the basic mechani.4mc (which cannot be Deal-Grove in character), how the observed layer by layer growth can be compatible with the observed growth at terraces, and the nature of the key degradation processes, like charge localisation and energy localisation.

A M Stoneham, C J Sofield
Core-Level Shifts in Si(001)-SiO2 Systems: The Value of First-Principle Investigations

A first-principle approach allows the study of relaxed structural models for surfaces and interfaces. This is a powerful tool for the study of the local bonding. The utility of the first-principle theory is substantially extended through the calculation of core-level shifts. Such results can be used in conjunction with measured photoemission spectra to make progress in understanding the local atomic structure at interfaces. We review the quantitative comparison of the calculated core level shifts with experiment for a series of molecules. We then describe results for the Si(001)-SiO2 interface.

Alfredo Pasquarello, Mark S. Hybertsen, G.-M. Rignanese, Roberto Car
A Simple Model of the Chemical Nature of Bonds at the Si—SiO2 Interface and its Influence on the Electronic Properties of MOS Devices

Thermal and chemical treatments of metal-oxide-semiconductor (MOS) devices have resulted in a substantial collection of experimental observations which are still unexplained by existing physical models of MOS devices. For example, the exact physical and chemical nature of oxide-fixed charges is still largely undetermined. It has long been observed that electronic properties of MOS devices such as oxide-fixed charge density, interface traps, and barrier heights are changed by thermal treatments in vacuum, thermal treatments in inert gases, thermal treatments in reactive gases, electrical stressing, and exposure to radiation or photons [1]. The simple and direct influence of annealing in a nitriding ambient on the electrical characteristics of MOS devices is still not fully understood on an atomic level.

Hisham Z. Massoud
Chemical Perspectives on Growth and Properties of Ultrathin SiO2 Layers

Silicon provides the material hardware for the electronic and optical industries. The high-volume silicon production is actually possible because of the following reasons: relatively pure Si02 ores are found in large fields;a cheap and reliable technology is known for producing `metallurgical’ silicon with impurity content around 5 – 10%; andmetallurgical silicon is transformed into volatile, easily purifiable, compounds via a single process.This is done via gas-phase attack of HCl or CH3C1 to powdered metallurgical silicon.

G. F. Cerofolini, N. Re
A theoretical model of the Si/SiO2 interface

We present preliminary quantum chemical results for the Si(100)/SiO2 interface. The interface is modelled by the superposition of three slabs: 1) four layers of silicon crystal to represent the silicon part; the bottom layer is saturated by hydrogen atoms whereas the top layer is at the interface. 2) a layer of oxygen atoms “adsorbed” on this top silicon layer; the interface may thus be primarily viewed as an oxidative adsorption of oxygen. Reconstruction at saturation is weak. The oxidation of the surface silicon atoms under oxygen adsorption leads to Si atoms that have different oxidation numbers, in agreement with XPS results. 3) a few layers of silica added epitaxially; the silica distorts to adapt to the geometry of the silicon crystal beneath. Only half of the oxygen layer is covered by the Si(+IV) ions of the silica. The interface model that results is close to that proposed by Ohdomari et a1.12 but differs by fine geometric details and does not proceed from the same construction.

A. Markovits, C. Minot

Section 3: Growth mechanism, processing, and analysis of (oxy)nitridation

Spatially-Selective Incorporation of Bonded-Nitrogen into Ultra-Thin Gate Dielectrics by Low-Temperature Plasma-Assisted Processing

Incorporation of nitrogen atoms into gate dielectrics: i) reduces defect generation at the Si-SiO2 interface when incorporated at monolayer levels; ii) permits use of physically-thicker oxide-equivalent gate thicknesses when incorporated in the body of the dielectric; and iii) reduces boron penetration from p+ poly-silicon gate electrodes through the dielectric films when incorporated at the poly-Si-dielectric interface, or in the body of the dielectric. This paper demonstrates that nitrogen atoms can be selectively incorporated into these different parts of device-quality gate dielectrics by low-thermal budget remote plasma assisted processing followed by rapid thermal annealing.

Gerald Lucovsky
Isotopic Labeling Studies of Oxynitridation in Nitric Oxide (NO) of Si and SiO2

Rapid thermal oxynitridation in nitric oxide (NO) of a thick (14 nm) Si02 film grown on Si(001) is studied as a first stage towards understanding of atomic transport mechanisms occuring during NO annealing of thin SiO2 films. The SiO2 films were grown in an ultra high vacuum rapid thermal processing (RTP) furnace in static pressure of natural O2 (16O2). These films were then annealed in N and 180-enriched NO (15N18O) for 20 and 80 s. Total amounts of nitrogen and oxygen (areal densities in at.cm-2) and heavy isotopes depth distribution were measured using non resonant and resonant nuclear reactions analysis. The results are discussed in terms of atomic depth profiles and growth mechanisms. These first results are more likely explained by two mechanisms occuring in parallel. In the first one, NO diffuses through the silica network without reacting with it and both N and O are fixed in the near interface region. In the second one, 18O is fixed near the oxide surface due to a mechanism related with a step-by-step motion of network oxygen atoms, by a simple diffusion process, induced by the presence of network defects, involving O only. This latter mechanism leads mostly to an exchange of oxygen atoms between the oxide network and the gas phase.Direct oxynitridation of Si(001) in nitric oxide (NO) is studied as a function of as pressure. The dielectric films were grown in the RTP furnace in static pressures of 15N and 18O enriched NO (15N18O). The nuclear reactions techniques mentioned above were employed to analyse the dielectric films. The thicknesses of the oxynitrides formed in NO never exceeded 3 nanometers, in our thermal treatments conditions. Moreover, the growth rates of these films are lower compared to N2O growth rates, due to the higher amount of nitrogen atoms fixed in the films. At 1050 °C, for isochronal thermal treatments, the amounts of nitrogen fixed in the films decreases as the pressure P of NO increases (in the range 1 to 100 hPa) suggesting that nitrogen atoms may be fixed via a vacancy mechanism. The amount of nitrogen atoms was found to support a P-1/4 law, whereas in N2O the nitrogen amount varies as P1/2. The areal densities of oxygen atoms are consistent with a P1/4 law, as in the case of N2O oxynitridation. Angle Resolved

I. Trimaille, J.-J. Ganem, L. G. Gosset, S. Rigo, I. J. R. Baumvol, F. C. Stedile, F. Rochet, G. Dufour, F. Jolly
Thermal Routes to Ultrathin Oxynitrides

Ultrathin (< 6 nm)silicon oxynitrides are desirable as gate dielectrics for present and future ultra-large-scale-integrated (ULSI) circuits due to their improved reliability [1-3] and boron penetration resistance [4,5] compared to SiO2. Over the history of integrated circuit processing, many nitridation and oxynitridation chemistries have been used to form such dielectrics. Direct nitridation via reaction of Si with N2 [6,7] required very high temperatures(T>1200°C) and therefore too high a thermal budget. The use of NH3 as a nitridation agent, especially to nitridize the Si/SiO2 interface [8-11], resulted in excessive H incorporation and the potential for degradation of dielectric reliability. More recently, oxynitrides have been grown in either N2O [12,13] or NO [14,15]. These dielectrics contain a small but significant amount of nitrogen [16], almost invariably concentrated near the Si/SiO2 interface [17].

M. L. Green, D. Brasen, L. C. Feldman, E. Garfunkel, E. P. Gusev, T. Gustafsson, W. N. Lennard, H. C. Lu, T. Sorsch
Nitrogen in Ultra Thin Dielectrics

Gas phase growth or annealing of pre-grown oxides in nitrous oxide (N2O) on silicon produces desirable dielectric layer properties. However since this gas is really a dilute form of nitric oxide (NO) it could be expected that it would give such properties as well. In this paper we consider the use of NO as both a growth environment and as an annealing gas firstly on silicon and then briefly on silicon carbide. We note that unlike N2O, NO has some unique properties for silicon applications that may be useful for future generation integration. Its as grown self limiting growth properties for example may be helpful in layer thickness control. However we also see that the NO can have deleterious effects through the introduction of too much nitrogen at the interface transition layer. The paper explores both the physical and electrical properties of as grown and annealed layers exposed to NO for silicon. We also proposed a growth model that has particular relevance, and then presents some results for fine geometry MOSFET devices. Finally a brief consideration of silicon carbide shows some similarities in properties to that of silicon when oxides grown on it are annealed in NO and N2O. In the case of SiC only annealing is considered, but in this case NO does show superior properties to those gained through the use of N2O.

H. B. Harrison, H.-F. Li, S. Dimitrijev, P. Tanner
Endurance of EEPROM-Cells Using Ultrathin NO and NH3 Nitrided Tunnel Oxides

EEPROMs (electrical erasable programmable read only memory) actually are used for various applications in microcontrollers or chip card products. For cell reliability improvement, reduction of internal programming voltages (<12V) is favorable which would also simplify the peripheral circuit design related to internal voltage generation. New card technologies require more memory capacity and therefore a higher integration density with thinner dielectric layers. In floating gate tunnel oxide (FLOTOX) type EEPROMs this reduction of the tunnel oxide (TOX) also leads to a desired lower programming voltage. But leakage current through the tunnel oxide limits the thickness reduction.

A. Mattheus, A. Gschwandtner, G. Innertsberger, A. Grassl, A. Talg
Effects of the Surface Deposition of Nitrogen on the Oxidation of Silicon

We have studied the influence of the surface deposition of N on the oxidation of Si(100) in dry O2. This problem has been addressed before by implanting 30 keV N ions through a 20 nm-thick SiO2 film, followed by thermal oxidation. In the present work the deposition of N was performed by ion implantation on the Si(100) surface at a very low energy (approximately 20 eV), at fluences between 1 and 10x1014 cm-2. Oxidations were performed in dry O2 at 1000 °C, under 50 mbar, during time intervals between 15 and 120 min In order to allow for high resolution depth profiling, the implanted isotope was 15N, and the oxidations were mostly performed in 97% 18O-enriched O2. The areal concentrations of 15N (before and after oxidation), and of 18O were determined by nuclear reaction analysis, while the 15N and 18O profiles were determined by means of low energy nuclear resonance profiling. The results show that: i) the retained amounts of 15N just after ion beam deposition stayed in the range 3 x1013 -7x1014 cm-2; ii) the oxide growth rate is strongly influenced by the presence of nitrogen, decreasing with the increase of the areal concentration of nitrogen; iii) before oxidation, the implanted 15N atoms occupy the very near surface layers of Si; and iv) after oxidation, 15N is distributed within the oxide film, with a maximum concentration near the oxide surface, which is a desired feature. Further studies on isotopic tracing of oxygen during thermal growth of silicon oxide on N-deposited Si surfaces are also reported.

T. D. M. Salgado, I. J. R. Baumvol, C. Radtke, C. Krug, F. C. Stedile

Section 4: Initial oxidation and surface science issues

Surface, Interface and Valence Band of Ultra-Thin Silicon Oxides

In 1987, silicon-based metal-oxide-semiconductor field-effect transistors (MOSFETs) with gate oxide film thickness of 3.3 nm were shown to operate at liquid nitrogen temperature.[1] As a result of continuing progress in microfabrication technology since that time, MOSFETs with gate oxide film thickness of 1.5 nm were shown to operate at room temperature[2] and the fabrication of 1 Gbit dynamic random access memory (DRAM) was reported.[3,4] The mass production of 1 Gbit DRAM using MOSFETs with gate oxide film thickness of about 5 nm must be realized at the beginning of the next century. In this case the thickness of one-molecular-layer of SiO2 corresponds to 6% of gate oxide film thickness. Therefore, it is necessary to control the formation of SiO2 and SiO2/Si interface on an atomic scale by improving the cleanliness and flatness of Si surfaces before the oxidation The control of oxide formation on an atomic scale is important for the formation of high quality SiO2/Si interfaces for future metal-oxidesemiconductor (MOS) technology.[5]

Takeo Hattori
Low Temperature Ultrathin Dielectrics on Silicon and Silicon Carbide Surfaces: From the Atomic Scale to Interface Formation

Oxides, nitrides and oxynitrides are among the most important passivation layers of semiconductor surfaces and are generally grown through molecular (02, H20, N2, NH3, N20 or NO) interaction with the surface. The reaction could be promoted by surface electronic modification using e.g. a catalyst, by elevated temperatures, by photoreaction using unmonochromatized synchrotron radiation, or by surface structure modification. Some of the latest developments in low temperature ultrathin dielectric growth on representative silicon and silicon carbide surfaces are presented. Such important issues as interface formation, atomic scale initial and self-propagating oxidation, influence of surface structure and composition, role of defects, oxide/oxynitride stoichiometry, and reaction micromechanisms are addressed in this review article. The presented investigations are based on photoelectron spectroscopies using second and third generation synchrotron radiation light sources and atom-resolved scanning tunneling microscopy experiments.

Patrick G. Soukiassian
Interaction of O2 and N2O with Si During the Early Stages of Oxide Formation

The interaction of 02 and N20 with silicon surfaces is studied using optical methods such as ellipsometry and second-harmonic generation. These methods give the integral characteristics of the process in the wide temperature and pressure range. The extended precursor model is considered to analyze the temperature dependence of initial oxidation. Oxide formation is characterized by the progressively decreasing growth rate with gas pressure approaching the transition to Si etching. This new result directly reflects the oxide island nucleation process. We consider the model for oxide island nucleation and growth, in which the nucleation proceeds through the interaction in the layer of intermediately adsorbed species. In contrast to that in epitaxy, the critical oxide island size is found to be dependent on 02 pressure. The surface morphology is discussed with respect to a size of oxide islands.

A. A. Shklyaev
Scanning Tunneling Microscopy on Oxide and Oxynitride Formation, Growth and Etching of Si Surfaces

An overview is given on scanning tunneling microscopy (STM) studies of the interaction of O2, NO and NO2 with Si(111)7x7 and Si(100)2x1. The initial stages of adsorption and reaction have been measured in real-time which allows the observation of atomic processes in detail. The results show a large variety of individual effects which in some cases follow characteristic reaction paths. The assignment of the individual adsorption and reaction events to individual atomic effects, i.e., to adsorption of atomic or molecular species on specific adsorption sites is difficult, since the resulting local changes of the electronic structure are not known a priori. For O and O2 a number of possible adsorption sites have been treated theoretically which may be used for their identification in the STM images. For Si(100)2x1 already from the beginning of the gas exposure different adsorption sites will be occupied. The N containing gases show similar effects as pure O2 except for the reactivity and the distribution of specific adsorption events. Adsorption at higher temperatures gives rise to macroscopic changes of the surface which appear as Si etching and for longer exposure as oxide film formation. In contrast to results from the literature for Si(111) no indication for an island growth mode in the initial stage was found. In case of NO on Si(111)7x7 subsequent heating produces ordered Si nitride structures.

H. Neddermeyer, T. Doege, E. Harazim, R. Kliese, A. Kraus, R. Kulla, M. Mitte, B. Röttger
The Interaction of Oxygen with Si(100) in the Vicinity of the Oxide Nucleation Threshold

The kinetics of silicon oxide formation on Si (100) at submonolayer coverages and surface roughening near the oxide nucleation threshold (NT) at temperatures T=915940 K and oxygen pressure P=4x10-7 Ton are investigated by X-ray photoelectron spectroscopy and atomic force microscopy. Microscopic mechanisms of vacancies accumulation and roughening phase transition are proposed. We also discuss the reasons for the change of the oxidation mode.

V. D. Borman, V. I. Troyan, Yu.Yu. Lebedinski

Section 5: Electrical properties and microscopic models of defects

Tunneling Transport and Reliability Evaluation in Extremely Thin Gate Oxides

Direct tunnel current through n+poly-Si /1.6 to 4.8nm thick SiO2/p-Si(100) structures has been calculated on the basis of the WKB approximation. The measured current versus oxide voltage characteristics are well explained by the theory with the only one fitting parameter which is the tunneling electron effective mass m*DT = (0.29±0.02)m0 independent of the oxide thickness. It is found that the quasi-breakdown of the oxides under constant current stressing in the direct tunneling regime is accompanied with multivalued gate-voltage fluctuations, indicating dynamic growth or shrinkage of a conducting filament near the SiO2/Si interface. The areal size and length of the filament are evaluated from the analysis of the stress-induced leakage current. The charge to breakdown for oxides thinner than 3 nm exceeds 104C/cm2 at an oxide field strength of 17 MV/cm.

M. Hirose, W. Mizubayashi, K. Morino, M. Fukuda, S. Miyazaki
Electrical Defects at the SiO2/Si Interface Studied by EPR

Electron paramagnetic resonance (EPR) is one of the most powerful techniques for studying defects in electronic materials. It has been applied to the Si/SiO2 system with considerable success over the past few decades. This paper will review recent work using this technique in conjunction with electrical characterization methods to study the physics and chemistry of electrically active defects in metal-oxide-semiconductor field effect transistors (MOSFETs). This work has revealed the crucial role played by atomic hydrogen (H0) in the chemistry of defects in Si02. Experiments in which Si/Si02 structures are exposed to H0 help to explain various phenomena resulting from electrical stress or radiation exposure in MOS structures. However, this work has also opened new questions about the nature of the dominant electrically active defects at or near the Si/Si02 interface.

James H. Stathis
Towards an Atomic Scale Understanding of Defects and Traps in Oxide/Nitride/Oxide and Oxynitride Systems

Amorphous dielectrics are key components of silicon devices. Silicon oxide, which has been used as the gate dielectric in MOS devices, is facing a number of challenges for deep sub-micron devices due to its low reliability because of electron and hole capturing, breakdown and boron penetration from poly-silicon. Silicon oxynitride (SiOXNy) will probably be used as the gate dielectric in the near future because of its boron blocking capabilities and higher reliability. Traps in SiOXNy determine the leakage current, breakdown and reliability. The nature of the traps still remains unclear.

V. A. Gritsenko
A New Model of Photoelectric Phenomena in MOS Structures
Outline and Applications

Photoelectric methods have been widely used to determine various parameters of the MOS system (for a summary see [1] and [2]). Until recently, all these methods were based on the physical model developed in the early seventies by Powell and Berglund [3-5], which allows prediction of the photocurrent vs. gate voltage, I(VG) and photocurrent vs. wavelength, I(λ), characteristics of UV illuminated MOS structures. The Powell-Berglund (PB) model applies, however, only when relatively high electric fields ε exist in the dielectric (roughly when ε 105 V/cm) and when the photocurrent is limited by the number of carriers that pass over the potential barrier at the emitter-dielectric interface.

H. M. Przewlocki
Point Defect Generation During Si Oxidation and Oxynitridation

In this work we investigate the influence of interfacial nitrogen on the point defect injection kinetics during thermal oxidation of silicon in the high temperature regime (1050º-1150ºC). Two different oxide growth techniques that introduce nitrogen at the interfacial region are investigated: a) N20 oxidation and b) dry oxidation of N2 implanted silicon. The interstitials that are injected during the oxidation process are monitored by the growth of pre-existing Oxidation Stacking Faults. We show that the existence of nitrogen at the interface can lead to an enhancement of the supersaturation of silicon interstitials at high temperatures (1050º-1150ºC). The formation of a nitrogen rich layer at the interface alters the recombination processes for self-interstitials, and more interstitial atoms diffuse into the substrate. However, for N20 oxidations and at lower temperatures this phenomenon is reversed and reduced supersaturation ratios are obtained.

C. Tsamis, D. Tsoukalas
Optically Induced Switching in Bistable Structures: Heavily Doped n+- Polysilicon - Tunnel Oxide Layer - n - Silicon

The interest in metal-insulator-semiconductor (MIS) diode structures with the insulator layer thinner than 50 Å, when the conductivity of the layer can no longer be ignored, has arisen over 25 years ago and has been initially related to the mechanism of the current flow, particularly by tunneling. In recent years the interest in such structures with a partly conducting insulator, i.e., in metal-tunnel insulator-semiconductor (MTIS) structures has grown due to several factors. These factors include the following: the need to reduce the thickness of the gate insulator in silicon field-effect transistors so as to reduce the length of the channel to submicron dimensions in modern integrated circuits, the development of MIS transistors with a tunnel emitter, the feasibility of constructing highly efficient solar cells and photodetectors from MIS diodes, and the development of MIS switches and oscillators operating on the basis of the tunnel surface-barrier instability effect.

V. Yu. Osipov
Heterojunction Al/SiO2/n-Si Device as an Auger Transistor

The paper is devoted to the investigation of current instabilities in the Al-Si0 2 - n-Si Auger, transistor. We succeeded for the first time in creating of the Auger transistor, in which in particular we used a metal-insulator heterojunction instead of a widegap semiconductor. The Auger transistor base is created by the holes, which are induced on silicon surface by electric field that exists in the thin oxide layer and is formed as a self-consistent quantum well near the n-silicon surface. The base width’ is about 10 Å and the well depth is equal up to 0.7 eV or even higher. The generation of electron-hole pairs by impact ionization (Auger generation) is the fastest physical process in semiconductors, which can be used for amplification and generation of electric signals. The impact ionization and drift regions are practically separated in the Auger transistor. The electron-hole pairs are generated in the transistor base and partly in the collector. The Sand N-type instabilities of the collector current in the Auger transistor in the case of circuit with a common emitter were investigated.

E. V. Ostroumova, A. A. Rogachev
Radiation Induced Behavior in MOS Devices

Despite many years of research, the nature of radiation-induced charge sources and basic mechanisms of charge relaxation in irradiated MOS devices remains controversial. Radiation-induced positive charge has been shown to be due to the oxygen vacancies having captured holes (E’r centres) [1,2]. However the problem resides in the energetic and spatial distribution of the trapped holes and their electron states. Energy levels of near-interfacial radiation-induced defects of the oxide may be located above EC(Si), under EV(Si) or within Si forbidden gap [3 and ref. in 3]. At the same time, relaxation process dynamics should depend significantly on energy location of the trap electron states.

V. V. Emelianov, G. I. Zebrev, O. V. Meshurov, A. V. Sogoyan, R. G. Useinov

Section 6: HydrogenlDeuterium issues

Hydrogenous Species and Charge Defects in the Si-SiO2 System

Hydrogen in its several speciations plays major roles in the Si-Si02 system. Some roles are beneficial, some are harmful, and some are unclear in their effects. There has been, nonetheless, little systematic research on H and its compounds per se in the Si-Si02 system. Much knowledge has been accumulated; but it is almost all focused on explaining one or another specific device phenomenon, rather than on illuminating of the behavior of hydrogen in itself. A number of these are listed below: Negative-bias-temperature instability (NBTI)Radiation/hot-electron generated defects and chargesPassivation/depassivation of interface trapped chargeDeal oxidation triangleOxidation-induced “fixed” oxide chargeAtomic-H paradoxH vs D effect in generation of charge defectsDiffusion, solubility of H2OElectron trapping in SiO2Anomalous positive charge

Edward H. Poindexter, Christopher F. Young, Gary J. Gerardi
The Role of Hydrogen in the Formation, Reactivity and Stability of Silicon (Oxy)Nitride Films

In the last decennium it has become clear how hydrogen and hydrogenated gases are involved in the formation of thin dielectric nitride and oxynitride films As a consequence hydrogen is incorporated in the deposited or grown films, where it plays a role in their physical, chemical and electrical reactivity and stability. Hydrogen is able to migrate in and desorb from the films via several mechanisms. These mechanisms are concisely reviewed. We consider the processes of wet oxidation and nitridation in the Si-O-N system as two manifestations of a single chemical reaction system. In this system hydrogen stabilizes intermediate reaction products, allowing multi-step reactions to proceed. Interruption of the process or, more specifically, isolation of the intermediate species from the reactants results in incorporation of these hydrogenated intermediates in the material. It appears that the reactivity of oxynitrides strongly increases for increasing 0/N concentration ratio of the material. The use of isotope-sensitive high-energy ion beam methods is emphasized.

F. H. P. M. Habraken, E. H. C. Ullersma, W. M. Arnoldbik, A. E. T. Kuiper
Hydrogen-Induced Donor States in the Mos System:
Hole Traps, Slow States and Interface States

We propose that atomic hydrogen, trapped at regular network oxygen atoms, produces a hole trap, a slow state and an interface state. The overcoordinated oxygen configuration resulting from this interaction is associated with an electronic donor state.

J. M. M. De Nijs, K. G. Druijf, V. V. Afanas’ev

Section 7: New substrates (SiC, SiGel and SOl technologies

Future Trends in SiC-Based Microelectronic Devices

Because of the large bandgap (3.0 eV for 6H SiC and 3.2 eV for 4H SiC) and radiation hardness, silicon carbide is prospective material for high temperature microelectronic devices. In SiC power devices unit switching power and speeds exceeding those in silicon by an order of magnitude or more are obtainable, with operating temperatures up to 1000ºC.

A. A. Lebedev, V. E. Chelnokov
The Initial Phases of Sic-SiO2 Interface Formation by Low-Temperature (300 ºC) Remote Plasma-Assisted Oxidation of Si and C Faces on Flat and Vicinal 6H SiC

The initial stages of SiC-Si02 interface formation by low temperature (300 ºC) remote plasma assisted oxidation (RPAO) have been studied by on-line Auger electron spectroscopy (AES) for flat and vicinal 6H SiC(0001) wafers with Si(0001) and C faces (0001). The paper focuses on i) interfacial bonding and ii) oxidation rates for thickness to about 2 nm. Plasma-assisted oxidation of 6H SiC is compared with i) thermal oxidation of SiC and ii) plasma-assisted oxidation of flat and vicinal Si(111).

G. Lucovsky, H. Niimi
Challenges in the Oxidation of Strained SiGe Layers

The use of ultraviolet (UV) and vacuum ultraviolet (VUV) photons generated from low pressure Hg lamps and excimer lamps, respectively, to enhance the growth of ultrathin dielectrics films on SiGe strained layers and on Si at temperatures below 550 ¡ãC is described in this paper. The thickness, structure (composition) and electrical properties of the grown oxide layers were investigated by ellipsometry, Raman and electron spectroscopy, scanning and transmission electron microscopy, Rutherford backscattering, Fourier-transform IR spectroscopy, capacitance-voltage and current-voltage measurements in order to characterise the oxidation process. The use of the UV and VUV radiation during the oxidation resulted in significant enhancements of the growth rate when compared with thermal oxidation, especially for the novel excimer lamp sources, which are more powerful light sources than Hg lamps. Using this technique, high quality, stoichiometric Si02 layers were grown on Si or Si capped SiGe strained layers, without any measurable relaxation of the strained substrate. New effects such as the formation during the UV-assisted oxidation of SiGe samples of nanocrystalline Ge particles inside the grown oxide layer which exhibit visible photoluminescence and stress effects induced by the oxidation process are also presented.

Valentin Craciun, Jun-Ying Zhang, Ian W. Boyd
The Current Status and Future Trends of SIMOX/SOI, New Technological Applications of the SIC/SOI System

The state of the art of the Silicon On Insulator (SOI) technology is presented The significant difference in the formation of thermally grown oxide and the buried oxide (BOX) produced by high dose oxygen implantation in silicon (SIMOX) will be highlighted. The different sources of the defects in the Si-overlayer and the Si02 buried layer produced during implantation and annealing treatment including Si-islands formation and strained Si-Si bonds in the BOX are discussed. A comparative study of the two most successful technologies SIMOX and wafer bonding is included. The feasibility to extend the SOI structures in the SiC is shown.

J. Stoemenos
Local Tunnel Emission Assisted by Inclusions Contained in Buried Oxides

Silicon-on-Insulator (SOI) structures are interesting candidates for dielectric insulation of future mos devices because, in principle, they allow larger and larger integration. In spite of the existence of different reliable technologies to obtain buried oxides (BOXes), their use is still limited because of their high production cost.

L. Meda, G. F. Cerofolini
Backmatter
Metadaten
Titel
Fundamental Aspects of Ultrathin Dielectrics on Si-based Devices
herausgegeben von
Eric Garfunkel
Evgeni Gusev
Alexander Vul’
Copyright-Jahr
1998
Verlag
Springer Netherlands
Electronic ISBN
978-94-011-5008-8
Print ISBN
978-0-7923-5008-8
DOI
https://doi.org/10.1007/978-94-011-5008-8