Skip to main content
Log in

Delay sensing for long-term variations and defects monitoring in safety–critical applications

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

The impact of parametric variations on digital circuit performance is increasing in nanometer Integrated Circuits (IC), namely of Process, power supply Voltage and Temperature (PVT) variations. Moreover, circuit aging also impacts circuit performance, especially due to Negative Bias Temperature Instability (NBTI) effect. A growing number of physical defects manifest themselves as delay faults (at production, or during product lifetime). On-chip, on-line delay monitoring, as a circuit failure prediction technique, can be an attractive solution to guarantee correct operation in safety–critical applications. Safe operation can be monitored, by predictive delay fault detection. A delay monitoring methodology and a novel delay sensor (to be selectively inserted in key locations in the design and to be activated according to user’s requirements) is proposed, and a 65 nm design is presented. The proposed sensor is programmable, allowing delay monitoring for a wide range of delay values, and has been optimized to exhibit low sensitivity to PVT and aging-induced variations. Two MOSFET models—BPTM and ST—have been used. As abnormal delays can be monitored, regardless of their origin, both parametric variations and physical defects impact on circuit performance can be identified. Simulation results show that the sensor is effective in identifying such abnormal delays, due to NBTI-induced aging and to resistive open defects.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15

Similar content being viewed by others

References

  1. Itoh, K. (2009). Adaptive circuits for the 0.5-V nanoscale CMOS era. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), pp. 14–20.

  2. Tschanz, J., et al. (2007). Adaptive frequency and biasing techniques for tolerance to dynamic temperature-voltage variations and aging. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), pp. 292–293.

  3. McPherson, J. W. (2006). Reliability challenges for 45 nm and beyond. In Proceedings of the ACM/IEEE Design Automation Conference (DAC), pp. 176–181.

  4. Paul, B. C., et al. (2006). Temporal performance degradation under NBTI: Estimation and design for improved reliability of nanoscale circuits. In Proceedings of the International Conference on Design Automation & Test in Europe (DATE), pp. 780–785.

  5. Tsang, T. K., El-Gamal, M. N., Iniewski, K., Townsend, K. A., Haslett, J. W., & Wang, Y. (2007). Current status of CMOS low voltage and low power wireless IC designs. Springer Journal on Analog Integrated Circuits and Signal Processing, 53, 9–18.

    Google Scholar 

  6. Predictive Technology Model. (PTM). http://www.eas.asu.edu/~ptm/

  7. http://www.st.com/stonline/products/technologies/soc/65plat.htm

  8. Agarwal, M., et al. (2007). Circuit failure prediction and its application to transistor aging. In Proceedings of the VLSI Test Symposium (VTS), pp. 277–286.

  9. Arabi, K., Saleh, R., & Xiongfei, M. (2007). Power supply noise in SoCs: Metrics, management, and measurement. IEEE Design & Test of Computers, 24(3), 236–244.

    Article  Google Scholar 

  10. Jaffari, J., & Anis, M. (2008). Statistical thermal profile considering process variations: Analysis and applications. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 27(6), 1027–1040.

    Article  Google Scholar 

  11. Jeppson, K. O., et al. (1977). Negative bias stress of CMOS devices at high electric fields and degradation of MOS devices. Journal of Applied Physics, 48(5), 2004–2014.

    Article  Google Scholar 

  12. Kufluoglu, H., Alam, M. A. (2007). A generalized reaction-diffusion model with explicit H-H2 dynamics for NBTI. IEEE Transactions on Electron Devices, 54(5), 1101–1107.

    Article  Google Scholar 

  13. Vattikonda, R., Wang, W., & Cao, Y. (2006). Modeling and minimization of PMOS NBTI effect for robust nanometer design. In Proceedings of the ACM/IEEE Design Automation Conference (DAC), pp. 1047–1052.

  14. Krishnan, A. T., Reddy, V., Chakravarthi, S., Rodriguez, J., John, S., & Krishnan, S. (2003). NBTI impact on transistor and circuit: models, mechanisms and scaling effects. In Proceedings of the IEEE International Electron Devices Meeting (IEDM), pp. 349–352.

  15. Kufluoglu, H., & Ashraful Alam, M. (2004). A computational model of NBTI and hot carrier injection time-exponents for MOSFET reliability. Springer Journal of Computational Electronics, 3, 165–169.

    Google Scholar 

  16. Keane, J., Persaud, D., & Kim, C. H. (2009). An all-in-one silicon Odometer for separately monitoring HCI, BTI, and TDDB. In Proceedings of the IEEE Symposium on VLSI, pp. 108–109.

  17. Chen, T. W., Kim, K., Kim, Y. M., & Mitra, S. (2008). Gate oxide early life failure prediction. In Proceedings of the IEEE VLSI Test Symposium (VTS), pp. 111–118.

  18. Reddy, V., Wang, A. W., Yang, Sh., Bhardwaj, S., Vattikonda, R., Vrudhula, S., et al. (2007). The impact of NBTI on the performance of combinational and sequential circuits. In Proceedings of the ACM/IEEE Design Automation Conference (DAC), pp. 364–369.

  19. Agarwal, M., Balakrishnan, V., Bhuyan, A., Kim, K., Paul, B. C., Wang, W., et al. (2008). Optimized circuit failure prediction for aging: practicality and promise. In Proceedings of ITC, paper 26.1.

  20. Nakura, T., Nose, K., & Mizuno, M. (2007). Fine-grain redundant logic using defect-prediction flip-flops. In Proceedings of the IEEE International Solid State Circuits Conference (ISSCC), pp. 402–403.

  21. Vazquez, J. C., et al. (2009). Built-in aging monitoring for safety-critical applications. In Proceedings of International On-Line Test Symposium (IOLTS), pp. 9–14.

  22. Vazquez, J. C., et al. (2010). Low sensitivity to process variations aging sensor for automotive safety-critical applications. In Proceedings of the IEEE VLSI Test Symposium (VTS), pp. 238–243.

  23. Vazquez, J. C., Champac, V., Ziesemer, A. M., Jr., Reis, R., Semião, J., Teixeira, I. C., et al. (2010). Predictive error detection by on-line aging monitoring. In In Proceedings of the IEEE International On-Line Test Symposium (IOLTS).

  24. Shen, B., Khatri, S. P., & Zourntos, T. (2006). Implementation of MOSFET based capacitors for digital applications. In Proceedings of the Great Lakes VLSI Symposium (GLSVLSI), pp. 180–185.

  25. Ziesemer, A., Jr., Lazzari, C., & Reis, R. (2007). Transistor level automatic layout generator for non-complementary CMOS cells. In Proceedings of VLSI-SOC, pp. 116–121.

  26. Wolpert, D., & Ampadu, P. (2009). A sensor to detect normal or reverse temperature dependence in nanoscale CMOS circuits. In Proceedings of the 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, pp. 193–201.

  27. Park, C., et al. (1995). Reversal of temperature dependence of integrated circuits operating at very low voltages. In Proceedings of the International Electron Devices Meeting (IEDM), pp. 71–74, Dec.

  28. Kanda, K., et al. (2001). Design impact of positive temperature dependence on drain current in sub-1 V CMOS VLSIs. IEEE Journal of Solid-Sate Circuits, 36(10), 1559–1564.

    Article  Google Scholar 

  29. Wang, W., et al. (2007). Compact modeling and simulation of circuit reliability for 65-nm CMOS technology. IEEE Transactions on Device and Materials Reliability, 7(4), 509–517.

    Article  Google Scholar 

  30. Rodriquez Montanés, R., Volf, P., & Pineda de Gyvez, J. (2002). Resistance characterization of interconnect weak and strong open defects. IEEE Design & Test of Computers, 19(5), 18–26.

    Article  Google Scholar 

Download references

Acknowledgments

This work has been partially supported by ENIAC SE2A Project and by FCT—Portuguese Foundation for Science and Technology (INESC-ID multiannual funding) through the PIDDAC Program funds and by CONACYT (México) through the PhD scholarship number 207069/204311.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to J. P. Teixeira.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Vazquez, J.C., Champac, V., Ziesemer, A.M. et al. Delay sensing for long-term variations and defects monitoring in safety–critical applications. Analog Integr Circ Sig Process 70, 249–263 (2012). https://doi.org/10.1007/s10470-011-9789-0

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-011-9789-0

Keywords

Navigation