Skip to main content
Log in

Delay analysis of buffer inserted sub-threshold interconnects

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

In this paper, an analysis of interconnect delay minimization by CMOS buffer insertion in sub-threshold regime is presented. Analytical expressions are developed to calculate the total delay and optimum number of buffers required for delay minimization in sub-threshold interconnects. Considering delay minimization by buffer insertion, the effects of voltage-scaling on the delay and optimum number of buffers have been analyzed. It is demonstrated that voltage scaling in sub-threshold regime reduces the number of buffers required to attain the minimum delay. This is one more advantage of voltage-scaling in addition to the usual reduction in power dissipation, in the sense that lesser silicon area is consumed. For a wide variety of typical interconnect loads, analytically obtained results are in good agreement with SPICE extracted results for most of the cases more than 90 %. Finally, the variability analysis of sub-threshold interconnects is investigated using Monte Carlo analysis.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7

Similar content being viewed by others

References

  1. International Technology Roadmap for Semiconductors (ITRS). http://public.itrs.net.

  2. Zangeneh, M., & Joshi, A. (2015). Designing tunable subthreshold logic circuits using adaptive feedback equalization. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24(3), 884–896.

    Article  Google Scholar 

  3. Wang, A., Calhoun, B. H., & Chandrakasan, A. P. (2006). Sub-threshold design for ultra low-power systems. New York: Springer.

    Google Scholar 

  4. Calhoun, B. H., Wang, A., & Chandrakasan, A. (2005). Modeling and sizing for minimum energy operation in subthreshold circuits. IEEE Journal of Solid-State Circuits, 40(9), 1778–1786.

    Article  Google Scholar 

  5. Deodhar, V. V., & Davis, J. A. (2008). Optimal voltage scaling, repeater insertion, and wire sizing for wave-pipelined global interconnects. IEEE Transactions on Circuits and Systems I: Regular Papers, 55(4), 1023–1030.

    Article  MathSciNet  Google Scholar 

  6. Ismail, Y. I., & Friedman, E. G. (2000). Effects of inductance on the propagation delay and repeater insertion in VLSI circuits. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 8(2), 195–206.

    Article  Google Scholar 

  7. Bakoglu, H. B., & Meindl, J. D. (1985). Optimal interconnection circuits for VLSI. IEEE Transactions on Electron Devices, 32(5), 903–909.

    Article  Google Scholar 

  8. Zangeneh, M., & Masoumi, N. (2009). An analytical delay reduction strategy for buffer-inserted global interconnects in VDSM technologies. In Proceedings of the European conference on circuit theory and design.

  9. Morgenshtein, A., Friedman, E. G., Ginosar, R., & Kolodny, A. (2010). Unified logical effort—A method for delay evaluation and minimization in logic paths with RC interconnect. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18(5), 689–696.

    Article  Google Scholar 

  10. Awwad, F. R., Nekili, M., Ramachandran, V., & Sawan, M. (2008). On modeling of parallel repeater-insertion methodologies for SOC interconnects. IEEE Transactions on Circuits and Systems I: Regular Papers, 55(1), 322–335.

    Article  MathSciNet  Google Scholar 

  11. Kil, J., Gu, J., & Kim, C. H. (2008). A high-speed variation-tolerant interconnect technique for sub-threshold circuits. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16(4), 456–465.

    Article  Google Scholar 

  12. Lee, K., Park, H., Kong, J., & Chandrakasan, A. P. (2013). Demonstration of a subthreshold FPGA using monolithically integrated graphene interconnects. IEEE Transactions on Electron Devices, 60(1), 383–390.

    Article  Google Scholar 

  13. Pable, S. D., & Hasan, M. (2012). Interconnect design for subthreshold circuits. IEEE Transactions on Nanotechnology, 11(3), 633–639.

    Article  Google Scholar 

  14. Jamal, O., & Naeemi, A. (2011). Ultra low-power single-wall carbon nanotube interconnects for subthreshold circuits. IEEE Transactions on Nanotechnology, 10(1), 99–101.

    Article  Google Scholar 

  15. Jamal, O., & Naeemi, A. (2010). Evolutionary and revolutionary interconnect technologies for performance enhancement of subthreshold circuits. In Proceedings of the Interconnect Technology Conference.

  16. Dhiman, R., & Chandel, R. (2015). Compact models and computation of crosstalk for sub-threshold interconnect circuits. Analog Integrated Circuits and Signal Processing, 82(3), 637–652.

    Article  Google Scholar 

  17. Agrawal, Y., & Chandel, R. (2016). Crosstalk analysis of current-mode signaling-coupled RLC interconnects using FDTD technique. IETE Technical Review, 33(2), 148–159.

    Article  Google Scholar 

  18. Alioto, M. (2010). Understanding DC behavior of subthreshold CMOS logic through closed-form analysis. IEEE Transactions on Circuits and Systems I: Regular Papers, 57(7), 1597–1607.

    Article  MathSciNet  Google Scholar 

  19. Soeleman, H., Roy, K., & Paul, B. C. (2001). Robust subthreshold logic for ultra-low power operation. IEEE Transactions on Very Large Scale Integration Systems, 9(1), 90–99.

    Article  Google Scholar 

  20. Dhiman, R., & Chandel, R. (2015). Compact models and performance investigations for subthreshold interconnects. India: Springer.

    Book  Google Scholar 

  21. Narasimhan, A., & Sridhar, R. (2010). Variability aware low-power delay optimal buffer insertion for global interconnects. IEEE Transactions on Circuits and Systems I: Regular Papers, 57(12), 3055–3063.

    Article  MathSciNet  Google Scholar 

  22. Ho, Y., Chen, H. K., & Su, C. (2012). Energy-effective sub-threshold interconnect design using high-boosting predrivers. IEEE Journal of Emerging and Selected Topics in Circuits and Systems, 2(2), 307–312.

    Article  Google Scholar 

  23. Venkatesan, R., Davis, J. A., & Meindl, J. D. (2003). Compact distributed RLC interconnect models-Part IV: Unified models for time delay, crosstalk, and repeater insertion. IEEE Transactions on Electron Devices, 50(4), 1094–1102.

    Article  Google Scholar 

  24. Adler, V., & Friedman, E. G. (1998). Repeater design to reduce delay and power in resistive interconnects. IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, 45(5), 607–616.

    Article  Google Scholar 

  25. Predictive Technology Model (PTM). http://ptm.asu.edu.

  26. Calhoun, B. H., & Chandrakasan, A. P. (2005). Ultra-dynamic voltage scaling (UDVS) using sub-threshold operation and local voltage dithering. IEEE Journal of Solid-State Circuits, 41(1), 238–245.

    Article  Google Scholar 

  27. Najm, F. N. (2010). Circuit simulation. New York: Wiley-IEEE Press.

    Book  Google Scholar 

  28. Kwong, J., & Chandrakasan, A. (2006). Variation-driven device sizing for minimum energy subthreshold circuits. In Proceedings of international symposium on low power electronics and design.

  29. Zhai, B., Hanson, S., Blaauw, D., & Sylvester, D. (2005). Analysis and mitigation of variability in subthreshold design. In Proceedings of international symposium on low power electronics and design.

  30. Unsal, O. S., Tschanz, J. W., Bowman, K., De, V., Vera, X., Gonzlez, A., et al. (2006). Impact of parameter variations on circuits and microarchitecture. IEEE Micro, 26(6), 30–39.

    Article  Google Scholar 

  31. Venkatraman, V., & Burleson, W. (2005). Robust multi-level current-mode on-chip interconnect signaling in the presence of process variations. In Proceedings of the international symposium on quality electronic design.

Download references

Acknowledgments

The authors sincerely acknowledge with gratitude the technical and financial support received from the Ministry of Science and Technology, Department of Science and Technology (DST), Govt. of India, through Start-up Research Grant for Young Scientists (Ref. No.: YSS/2015/001122).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Rohit Dhiman.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Dhiman, R., Chandel, R. Delay analysis of buffer inserted sub-threshold interconnects. Analog Integr Circ Sig Process 90, 435–445 (2017). https://doi.org/10.1007/s10470-016-0860-8

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-016-0860-8

Keywords

Navigation