Skip to main content
Erschienen in: Cluster Computing 2/2020

25.11.2019

Comprehensive regression-based model to predict performance of general-purpose graphics processing unit

verfasst von: Mohammad Hossein Shafiabadi, Hossein Pedram, Midia Reshadi, Akram Reza

Erschienen in: Cluster Computing | Ausgabe 2/2020

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Recently, the use of graphics processors has been significantly increased in fast and accurate scientific calculations. These processors provide a heterogeneous design space, and make designers capable of performing more accurate designs with higher efficiency. In this paper, a regression model is proposed to predict the performance of various applications on general-purpose graphics processors units. We present the main challenges for predicting the efficiency of graphics processing units (GPUs) based on simulation experiments. Also, we build the regression statistical inference from the result of the simulation, which predicts the efficiency of GPUs in various performances with approximately 7% of error of measurement. We have used AMD Southern Island and SDK 2.5, OpenCL which are both based on OpenCL. The first version of the design is built by very large design space, approximately about 17 billion points, from which 8000 points were randomly chosen, and the performance of graphic processors was calculated based on the results of the simulation. The model of non-linear regression is capable of predicting the performance of graphics process with the average error rate of 7%.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Fußnoten
1
Instruction per cycle.
 
2
Root mean squared error.
 
3
Mean absolute error.
 
Literatur
1.
Zurück zum Zitat Puttaswamy, K., et al.: System level power-performance trade-offs in embedded systems using voltage and frequency scaling of off-chip buses and memory. In: Proceedings of the 15th International Symposium On System Synthesis. ACM (2002) Puttaswamy, K., et al.: System level power-performance trade-offs in embedded systems using voltage and frequency scaling of off-chip buses and memory. In: Proceedings of the 15th International Symposium On System Synthesis. ACM (2002)
2.
Zurück zum Zitat Meyer, B.H., et al.: Power-performance simulation and design strategies for single-chip heterogeneous multiprocessors. IEEE Trans. Comput. 54(6), 684–697 (2005)CrossRef Meyer, B.H., et al.: Power-performance simulation and design strategies for single-chip heterogeneous multiprocessors. IEEE Trans. Comput. 54(6), 684–697 (2005)CrossRef
3.
Zurück zum Zitat Park, Y.-H., et al.: System-level power-performance trade-offs in bus matrix communication architecture synthesis. In: Hardware/Software Codesign and System Synthesis, 2006. CODES+ ISSS’06. Proceedings of the 4th International Conference. IEEE (2006) Park, Y.-H., et al.: System-level power-performance trade-offs in bus matrix communication architecture synthesis. In: Hardware/Software Codesign and System Synthesis, 2006. CODES+ ISSS’06. Proceedings of the 4th International Conference. IEEE (2006)
6.
Zurück zum Zitat Thompson, M., et al.: A mixed-level co-simulation method for system-level design space exploration. In: Proceedings of the 2006 IEEE/ACM/IFIP Workshop on Embedded Systems for Real Time Multimedia. IEEE (2006) Thompson, M., et al.: A mixed-level co-simulation method for system-level design space exploration. In: Proceedings of the 2006 IEEE/ACM/IFIP Workshop on Embedded Systems for Real Time Multimedia. IEEE (2006)
7.
Zurück zum Zitat Baghsorkhi, S.S., et al.: Analytical performance prediction for evaluation and tuning of GPGPU applications. In: Workshop on EPHAM2009, in Conjunction with CGO, Citeseer (2009) Baghsorkhi, S.S., et al.: Analytical performance prediction for evaluation and tuning of GPGPU applications. In: Workshop on EPHAM2009, in Conjunction with CGO, Citeseer (2009)
8.
Zurück zum Zitat McClanahan, C.: History and Evolution of GPU Architecture. A Survey Paper, p. 9 (2010) McClanahan, C.: History and Evolution of GPU Architecture. A Survey Paper, p. 9 (2010)
9.
Zurück zum Zitat Power, J., et al.: gem5-gpu: a heterogeneous CPU–GPU simulator. IEEE Comput. Archit. Lett. 14(1), 34–36 (2015)CrossRef Power, J., et al.: gem5-gpu: a heterogeneous CPU–GPU simulator. IEEE Comput. Archit. Lett. 14(1), 34–36 (2015)CrossRef
10.
Zurück zum Zitat Kothapalli, K., et al.: A performance prediction model for the CUDA GPGPU platform. In: 2009 International Conference on High Performance Computing (HiPC). IEEE (2009) Kothapalli, K., et al.: A performance prediction model for the CUDA GPGPU platform. In: 2009 International Conference on High Performance Computing (HiPC). IEEE (2009)
11.
Zurück zum Zitat Hong, S., Kim, H.: An integrated GPU power and performance model. In: ACM SIGARCH Computer Architecture News. ACM (2010) Hong, S., Kim, H.: An integrated GPU power and performance model. In: ACM SIGARCH Computer Architecture News. ACM (2010)
12.
Zurück zum Zitat Lee, B.C., Brooks, D.: Applied inference: case studies in microarchitectural design. ACM Trans. Archit. Code Optim. 7(2), 8 (2010)CrossRef Lee, B.C., Brooks, D.: Applied inference: case studies in microarchitectural design. ACM Trans. Archit. Code Optim. 7(2), 8 (2010)CrossRef
13.
Zurück zum Zitat Schafer, B.C., Wakabayashi, K.: Design space exploration acceleration through operation clustering. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(1), 153–157 (2010)CrossRef Schafer, B.C., Wakabayashi, K.: Design space exploration acceleration through operation clustering. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(1), 153–157 (2010)CrossRef
14.
Zurück zum Zitat Meng, J., et al.: GROPHECY: GPU performance projection from CPU code skeletons. In: Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis. ACM (2011) Meng, J., et al.: GROPHECY: GPU performance projection from CPU code skeletons. In: Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis. ACM (2011)
15.
Zurück zum Zitat Song, S., et al.: A simplified and accurate model of power-performance efficiency on emergent GPU architectures. In: 2013 IEEE 27th International Symposium on Parallel and Distributed Processing (IPDPS). IEEE (2013) Song, S., et al.: A simplified and accurate model of power-performance efficiency on emergent GPU architectures. In: 2013 IEEE 27th International Symposium on Parallel and Distributed Processing (IPDPS). IEEE (2013)
16.
Zurück zum Zitat Moren, K., Göhringer, D.: Automatic Mapping for OpenCL-Programs on CPU/GPU Heterogeneous Platforms. Springer, Cham (2018)CrossRef Moren, K., Göhringer, D.: Automatic Mapping for OpenCL-Programs on CPU/GPU Heterogeneous Platforms. Springer, Cham (2018)CrossRef
17.
Zurück zum Zitat Azizi, O., et al.: An integrated framework for joint design space exploration of microarchitecture and circuits. In: Design, Automation and Test in Europe Conference and Exhibition (DATE), 2010. IEEE (2010) Azizi, O., et al.: An integrated framework for joint design space exploration of microarchitecture and circuits. In: Design, Automation and Test in Europe Conference and Exhibition (DATE), 2010. IEEE (2010)
18.
Zurück zum Zitat Dubach, C., et al.: A predictive model for dynamic microarchitectural adaptivity control. In: Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society (2010) Dubach, C., et al.: A predictive model for dynamic microarchitectural adaptivity control. In: Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society (2010)
19.
Zurück zum Zitat Kerr, A., Diamos, G., Yalamanchili, S.: Modeling GPU–CPU workloads and systems. In: Proceedings of the 3rd Workshop on General-Purpose Computation on Graphics Processing Units. ACM (2010) Kerr, A., Diamos, G., Yalamanchili, S.: Modeling GPU–CPU workloads and systems. In: Proceedings of the 3rd Workshop on General-Purpose Computation on Graphics Processing Units. ACM (2010)
20.
Zurück zum Zitat Kerr, A., et al.: Eiger: a framework for the automated synthesis of statistical performance models. In: 2012 19th International Conference on High Performance Computing (HiPC). IEEE (2012) Kerr, A., et al.: Eiger: a framework for the automated synthesis of statistical performance models. In: 2012 19th International Conference on High Performance Computing (HiPC). IEEE (2012)
21.
Zurück zum Zitat Ubal, R., et al.: Multi2Sim: a simulation framework for CPU–GPU computing. In: 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT). IEEE (2012) Ubal, R., et al.: Multi2Sim: a simulation framework for CPU–GPU computing. In: 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT). IEEE (2012)
22.
Zurück zum Zitat Benatia, A., et al.: BestSF: a sparse meta-format for optimizing SpMV on GPU. ACM Trans. Archit. Code Optim. 15(3), 29 (2018)CrossRef Benatia, A., et al.: BestSF: a sparse meta-format for optimizing SpMV on GPU. ACM Trans. Archit. Code Optim. 15(3), 29 (2018)CrossRef
24.
Zurück zum Zitat Joseph, P., Vaswani, K., Thazhuthaveetil, M.J.: A predictive performance model for superscalar processors. In: Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society (2006) Joseph, P., Vaswani, K., Thazhuthaveetil, M.J.: A predictive performance model for superscalar processors. In: Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society (2006)
25.
Zurück zum Zitat Hu, L., Che, X., Zheng, S.-Q.: A closer look at GPGPU. ACM Comput. Surv. 48(4), 60 (2016)CrossRef Hu, L., Che, X., Zheng, S.-Q.: A closer look at GPGPU. ACM Comput. Surv. 48(4), 60 (2016)CrossRef
26.
Zurück zum Zitat Issa, J.: Processor performance modeling using regression method. In: 2016 18th Mediterranean Electrotechnical Conference (MELECON). IEEE (2016) Issa, J.: Processor performance modeling using regression method. In: 2016 18th Mediterranean Electrotechnical Conference (MELECON). IEEE (2016)
27.
Zurück zum Zitat Gianniti, E., Zhang, L., Ardagna, D.: Performance prediction of GPU-based deep learning applications. In: Conference: 2018 30th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD) (2018) Gianniti, E., Zhang, L., Ardagna, D.: Performance prediction of GPU-based deep learning applications. In: Conference: 2018 30th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD) (2018)
28.
Zurück zum Zitat Mukherjee, R., Rehman, M.S., Kothapalli, K., Narayanan, P.J, Srinathan, K.: Fast, Scalable, and Secure encryption on the GPU (2014) Mukherjee, R., Rehman, M.S., Kothapalli, K., Narayanan, P.J, Srinathan, K.: Fast, Scalable, and Secure encryption on the GPU (2014)
29.
Zurück zum Zitat Jia, W., et al.: GPU performance and power tuning using regression trees. ACM Trans. Archit. Code Optim. 12(2), 13 (2015)CrossRef Jia, W., et al.: GPU performance and power tuning using regression trees. ACM Trans. Archit. Code Optim. 12(2), 13 (2015)CrossRef
31.
Zurück zum Zitat Wu, W., Lee, B.C.: Inferred models for dynamic and sparse hardware–software spaces. In: Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society (2012) Wu, W., Lee, B.C.: Inferred models for dynamic and sparse hardware–software spaces. In: Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society (2012)
32.
Zurück zum Zitat Huang, B., et al.: Development of a GPU-based high-performance radiative transfer model for the Infrared Atmospheric Sounding Interferometer (IASI). J. Comput. Phys. 230(6), 2207–2221 (2011)CrossRef Huang, B., et al.: Development of a GPU-based high-performance radiative transfer model for the Infrared Atmospheric Sounding Interferometer (IASI). J. Comput. Phys. 230(6), 2207–2221 (2011)CrossRef
33.
Zurück zum Zitat Jia, W., Shaw, K.A., Martonosi, M.: Stargazer: automated regression-based GPU design space exploration. In: 2012 IEEE International Symposium on Performance Analysis of Systems & Software. IEEE (2012) Jia, W., Shaw, K.A., Martonosi, M.: Stargazer: automated regression-based GPU design space exploration. In: 2012 IEEE International Symposium on Performance Analysis of Systems & Software. IEEE (2012)
34.
Zurück zum Zitat Ahmad, L.G., et al.: Using three machine learning techniques for predicting breast cancer recurrence. J. Health Med. Inf. 4(124), 3 (2013) Ahmad, L.G., et al.: Using three machine learning techniques for predicting breast cancer recurrence. J. Health Med. Inf. 4(124), 3 (2013)
35.
Zurück zum Zitat Khalaf, M., et al.: A data science methodology based on machine learning algorithms for flood severity prediction. In: 2018 IEEE Congress on Evolutionary Computation (CEC) (2018) Khalaf, M., et al.: A data science methodology based on machine learning algorithms for flood severity prediction. In: 2018 IEEE Congress on Evolutionary Computation (CEC) (2018)
Metadaten
Titel
Comprehensive regression-based model to predict performance of general-purpose graphics processing unit
verfasst von
Mohammad Hossein Shafiabadi
Hossein Pedram
Midia Reshadi
Akram Reza
Publikationsdatum
25.11.2019
Verlag
Springer US
Erschienen in
Cluster Computing / Ausgabe 2/2020
Print ISSN: 1386-7857
Elektronische ISSN: 1573-7543
DOI
https://doi.org/10.1007/s10586-019-03011-2

Weitere Artikel der Ausgabe 2/2020

Cluster Computing 2/2020 Zur Ausgabe