Skip to main content
Erschienen in: Journal of Electronic Testing 6/2016

28.11.2016

New Methodology for Complete Properties Extraction from Simulation Traces Guided with Static Analysis

verfasst von: Mohamed Hanafy, Hazem Said, Ayman M. Wahba

Erschienen in: Journal of Electronic Testing | Ausgabe 6/2016

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

This paper introduces a new methodology for digital design properties extraction from simulation traces. A new Breadth-First Decision Tree (BF-DT) mining algorithm is proposed for complete properties extraction from simulation traces. The new mining engine supports both bit-level and word-level values of different design variables. Static analysis technique is implemented to extract all data dependencies between the digital design variables. New traces regeneration algorithm is proposed to obtain reduced traces for more efficient and easier mining. The mining engine is guided with these data dependencies to extract complete design properties relating target variables desired to extract properties for and their cone of interest feature variables. The contributed mining technique has been tested for different designs with different sizes. The design properties generated from the mining engine completely match with all design properties covered in the input simulation traces. Moreover, the generated properties are at the highest possible level of abstraction leading to the best coverage for the input data space. The simulation results show that the proposed methodology has superior efficiency in extracting both bit-level and word-level complete assertions of digital design in both superior quality and feasible time.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Literatur
1.
Zurück zum Zitat Boulé M, Zilic Z (2008) Automata-based assertion-checker synthesis of PSL properties. ACM Trans Des Autom Electron Syst 13(1):1–21CrossRef Boulé M, Zilic Z (2008) Automata-based assertion-checker synthesis of PSL properties. ACM Trans Des Autom Electron Syst 13(1):1–21CrossRef
2.
Zurück zum Zitat Chen KC (2003) Assertion-based verification for SoC designs, IEEE 5th International Conference on ASIC, Beijing, China, pp.12–15, 21–24 Chen KC (2003) Assertion-based verification for SoC designs, IEEE 5th International Conference on ASIC, Beijing, China, pp.12–15, 21–24
3.
Zurück zum Zitat El Mandouh E, Wassal AG (2012) Automatic Generation of Hardware Design Properties from Simulation Traces, IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2317–2320, 20–23 El Mandouh E, Wassal AG (2012) Automatic Generation of Hardware Design Properties from Simulation Traces, IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2317–2320, 20–23
4.
Zurück zum Zitat Foster H (2009) Applied assertion-based verification: an industry perspective. Found Trends Elec Design Auto 3(I):1–95 Foster H (2009) Applied assertion-based verification: an industry perspective. Found Trends Elec Design Auto 3(I):1–95
5.
Zurück zum Zitat Foster H, Lacey D, Krolnik A (2003) Assertion-based design. Kluwer Academic Publishers, NorwellCrossRef Foster H, Lacey D, Krolnik A (2003) Assertion-based design. Kluwer Academic Publishers, NorwellCrossRef
6.
Zurück zum Zitat Hanafy M, Said H, Wahba AM (2015) Complete Properties Extraction from Simulation Traces for Assertions Auto-Generation, IEEE 24th North Atlantic Test Workshop (NATW 2015), pp. 1–6, 11–13 Hanafy M, Said H, Wahba AM (2015) Complete Properties Extraction from Simulation Traces for Assertions Auto-Generation, IEEE 24th North Atlantic Test Workshop (NATW 2015), pp. 1–6, 11–13
7.
Zurück zum Zitat Hangal S, Chandra N, Narayanan S, Chakravorty S (2005) Iodine: a tool to automatically infer dynamic invariants for hardware designs, 42nd annual Design Automation Conference (DAC ’05), New York, USA, pp. 775–778 Hangal S, Chandra N, Narayanan S, Chakravorty S (2005) Iodine: a tool to automatically infer dynamic invariants for hardware designs, 42nd annual Design Automation Conference (DAC ’05), New York, USA, pp. 775–778
8.
Zurück zum Zitat Hertz S, Sheridan D, Vasudevan S (2013) Mining hardware assertions with guidance from static analysis. IEEE Trans Comput-Aided Design Integr Circuits Syst 32:952–965CrossRef Hertz S, Sheridan D, Vasudevan S (2013) Mining hardware assertions with guidance from static analysis. IEEE Trans Comput-Aided Design Integr Circuits Syst 32:952–965CrossRef
9.
Zurück zum Zitat IEEE Std (1800–2009) IEEE Standard for SystemVerilog – Unified Hardware Design, Specification, and Verification Language. Institute of Electrical and Electronic Engineers, New York IEEE Std (1800–2009) IEEE Standard for SystemVerilog – Unified Hardware Design, Specification, and Verification Language. Institute of Electrical and Electronic Engineers, New York
10.
Zurück zum Zitat IEEE Std (1850–2010) IEEE Standard for Property Specification Language (PSL). Institute of Electrical and Electronic Engineers, New York IEEE Std (1850–2010) IEEE Standard for Property Specification Language (PSL). Institute of Electrical and Electronic Engineers, New York
11.
Zurück zum Zitat IEEE Std. 1800.2 (2015) IEEE Standard for Universal Verification Methodology Language Reference Manual. Institute of Electrical and Electronic Engineers, New York IEEE Std. 1800.2 (2015) IEEE Standard for Universal Verification Methodology Language Reference Manual. Institute of Electrical and Electronic Engineers, New York
12.
Zurück zum Zitat ITRS (2013) International techoology roadmap for semiconductors report ITRS (2013) International techoology roadmap for semiconductors report
13.
Zurück zum Zitat Kayed M, Abdelsalam M, Guindi R (2014) A Novel Approach for SVA Generation of DDR Memory Protocols Based on TDML, 15th International Workshop on Microprocessor Test and Verification, Common Challenges and Solutions, December 15–16 Kayed M, Abdelsalam M, Guindi R (2014) A Novel Approach for SVA Generation of DDR Memory Protocols Based on TDML, 15th International Workshop on Microprocessor Test and Verification, Common Challenges and Solutions, December 15–16
14.
Zurück zum Zitat Liu L, Sheridan D, Athavale V, Vasudevan S (2011) Automatic Generation of Assertions from System Level Design Using Data Mining, 9th IEEE/ACM International Conference on Formal Methods and Models for Codesign (MEMOCODE), pp. 191–200 Liu L, Sheridan D, Athavale V, Vasudevan S (2011) Automatic Generation of Assertions from System Level Design Using Data Mining, 9th IEEE/ACM International Conference on Formal Methods and Models for Codesign (MEMOCODE), pp. 191–200
15.
Zurück zum Zitat Liu L, Lin C, Vasudevan S (2012) Word Level Feature Discovery to Enhance Quality of Assertion Mining, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 210–217 Liu L, Lin C, Vasudevan S (2012) Word Level Feature Discovery to Enhance Quality of Assertion Mining, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 210–217
16.
Zurück zum Zitat Morris Mano M Digital Design, Third Edition, 2002, Prentice-Hall Morris Mano M Digital Design, Third Edition, 2002, Prentice-Hall
17.
Zurück zum Zitat Mostafa M, Safar M, El-Kharashi MW, Dessouky M (2014) SystemVerilog Assertion Debugging based on Visualization, Simulation Results and Mutation, 15th International Workshop on Microprocessor Test and Verification, Common Challenges and Solutions, December 15–16 Mostafa M, Safar M, El-Kharashi MW, Dessouky M (2014) SystemVerilog Assertion Debugging based on Visualization, Simulation Results and Mutation, 15th International Workshop on Microprocessor Test and Verification, Common Challenges and Solutions, December 15–16
20.
Zurück zum Zitat Sheridan D, Liu L, Kim H, Vasudevan S (2014) A Coverage Guided Mining Approach for Automatic Generation of Succinct Assertions, 27th International Conference on VLSI Design and 13th International Conference on Embedded Systems, pp. 68–73 Sheridan D, Liu L, Kim H, Vasudevan S (2014) A Coverage Guided Mining Approach for Automatic Generation of Succinct Assertions, 27th International Conference on VLSI Design and 13th International Conference on Embedded Systems, pp. 68–73
21.
Zurück zum Zitat Tong JG, Sarraf D, Boulé M, Zilic Z (2011) Generating Compact Assertions for Control-Based Logic Signals, IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS), 2011, pp. 1–4 Tong JG, Sarraf D, Boulé M, Zilic Z (2011) Generating Compact Assertions for Control-Based Logic Signals, IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS), 2011, pp. 1–4
22.
Zurück zum Zitat Vasudevan S, Sheridan D, Patel S, Tcheng D, Tuohy B, Johnson D (2010) GoldMine: Automatic Assertion Generation Using Data Mining and Static Analysis, Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 626–629 Vasudevan S, Sheridan D, Patel S, Tcheng D, Tuohy B, Johnson D (2010) GoldMine: Automatic Assertion Generation Using Data Mining and Static Analysis, Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 626–629
23.
Zurück zum Zitat Zaki M, Tahar S (2003) Syntax code analysis and generation for Verilog. IEEE CCECE 2003, Can Conf Electr Comput Eng 1:235–240 4–7 Zaki M, Tahar S (2003) Syntax code analysis and generation for Verilog. IEEE CCECE 2003, Can Conf Electr Comput Eng 1:235–240 4–7
Metadaten
Titel
New Methodology for Complete Properties Extraction from Simulation Traces Guided with Static Analysis
verfasst von
Mohamed Hanafy
Hazem Said
Ayman M. Wahba
Publikationsdatum
28.11.2016
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 6/2016
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-016-5626-9

Weitere Artikel der Ausgabe 6/2016

Journal of Electronic Testing 6/2016 Zur Ausgabe

EditorialNotes

Editorial

Neuer Inhalt