A chevron specimen for the measurement of mixed-mode interface toughness of wafer bonds

https://doi.org/10.1016/j.engfracmech.2007.07.009Get rights and content

Abstract

Accurate characterization of interfacial adhesion is essential for the development of reliable wafer bonding processes. In most applications in which wafers are bonded, the interface experiences a combination of shear and normal loading (i.e., mixed-mode loading). When characterizing the fracture properties of a bond, it is important to measure the interface toughness under similar mixed-mode conditions. In the current work, a chevron test specimen composed of bonded cantilever layers of dissimilar thicknesses is analyzed, and the dependence of the mode mixity at the interface is determined as function of the layer thickness ratio. This test geometry is well-suited for the measurement of bonds between typical semiconductor substrates that range in thickness from 0.1 to 1 mm. A nominal specimen geometry with a total layer thickness of 0.5–2 mm and in-plane dimensions of 10 × 10 mm is analyzed using a 3-D finite element (FE) model in combination with the virtual crack closure technique. It is demonstrated that the phase angle (i.e. the degree of mode-mixity) at the interface can be varied from 0° to 35° by changing the layer thickness ratio from 1 to 0.1. The FE results have been fitted to an expression that allows the interface toughness to be easily calculated from experimental data.

Introduction

Wafer bonding has emerged as an important tool in the fabrication of a range of microsystems, including advanced integrated circuits [1], microelectromechanical systems (MEMS) [2], and the manufacture of silicon-on-insulator (SOI) substrates [3]. Typically, wafer bonding processes involve joining substrates of 100–300 mm diameter and 0.5–1.0 mm thickness, either directly or via an interlayer. Common bonding mechanisms include: direct bonding [4], anodic bonding [5], and thermocompression bonding [1], [6].

Characterization of the mechanical strength of the bonded interface is essential in developing wafer bonding processes that give a high yield of devices with long-term reliability. Bonded interfaces are subjected to thermal and mechanical stresses during both fabrication and device operation and thus an assessment of the resistance to interfacial failure is crucial. Wafer bonded interfaces experience a range of different types of loads during manufacturing and operation. For example, during polishing processes, wafer bonds are subjected to primarily shear loads, while in micromechanical devices that contain pressurized channels or cavities, the bond may be subjected to a nearly pure tensile load [7]. In many cases, such as during die sawing or when thermal and residual stresses are present in the fabricated device, the bond may be loaded by a combination of shear and tensile loads. The loading conditions at the interface play an important role in the failure of the bond as the interface toughness is a strong function of the phase angle of loading, which is defined as Ψ = tan−1(KII/KI), where KI and KII are the mode I (tensile) and mode II (shear) stress intensity factors, respectively. Loading conditions can vary from pure tension (mode I, Ψ = 0°) to pure shear (mode II, Ψ = 90°), and include combinations of tensile and shear loading (mixed-mode).

Common mechanical testing methods for quantitative interface characterization of wafer bonds include tensile [8], double-cantilever beam (DCB) [9], four-point bend [10], and mode I chevron tests [11], [12]. The advantages and disadvantages of these methods have been extensively documented [13], [14]. A key difference between the various test methods is the quantity that is measured to describe the interfacial adhesion. Typically, tests measure either the bond strength, which is the global stress that the interface fails at, or the interface toughness, which is the mechanical energy per unit interfacial area at which delamination occurs. The bond strength measured in tensile pull tests is the average stress at the interface when fracture occurs and is a strong function of the geometry of the bond and defects at the interface, both of which are influenced by specimen geometry and preparation [14]. The interface toughness is measured using fracture mechanics specimens, such as the DCB, four-point bend, or chevron specimens, and can provide a more direct measure of interfacial adhesion.

The aforementioned test methods encompass a wide range of loading conditions (mode I – DCB and chevron, mixed-mode – four-point bend). In addition to the loading conditions, the choice of a particular test method is also based on: reproducibility, ease of sample preparation, and applicability to different types of materials (brittle or ductile). For mixed-mode testing of wafer bonds, there are few specimens available that satisfy all of the above criteria. While the four-point bend test allows for very reproducible measurements, it suffers from difficulty in sample preparation and is of limited use for the study of strong direct bonds between brittle materials [15]. Furthermore, the range of phase angles in a four-point bend specimen is typically 40–60° [10], which corresponds to the regime of 50% or greater shear loading. Thus, there is a need for a robust mixed-mode test method that allows for characterization of a variety of interfaces under mode I dominated loading (i.e. phase angles of 0–40°) as well as fast and easy specimen fabrication.

The bonded chevron specimen has been shown to be an effective means of characterizing the interface toughness of wafer bonds [11], [12]. The specimen is simple to fabricate, amenable to miniaturization, and easy to test. The chevron geometry has been extensively studied [16], [17] and it is important to note that the complexity of the fracture process in the notch region can result in less accurate toughness measurements compared to other fracture mechanics specimens. Previous work on wafer bonded chevron specimens [12] has used a pure mode I configuration, in which the layers are of equal thickness and have the same elastic properties. If the thickness or elastic properties of the two layers of the specimen are not the same, a mixed-mode loading is produced at the interface. The ability to characterize interface toughness under mixed-mode loading using a wafer bonded chevron specimen with layers of dissimilar thicknesses has not yet been exploited. A chevron specimen with layers of different thickness is straightforward to fabricate and would have many of the desirable attributes of the existing mode I chevron test method [12], while providing the ability to vary the mode-mixity.

In the current work, computational modeling is used to examine a chevron specimen with layers of different thicknesses, in order to provide the necessary analysis for the specimen to be used in the measurement of wafer bonds under mixed-mode conditions. Specifically, finite element (FE) modeling is used to calculate the strain energy release rate and phase angle for bonded chevron specimens as a function of the layer thickness ratio.

Section snippets

Specimen and test method

The chevron test has traditionally been used to characterize bulk materials [16], [18], but has been modified to measure the interfacial toughness of bonded wafers [11], and more recently miniaturized to obtain the distribution of toughness across an entire bonded wafer-pair [12]. Fig. 1a shows a schematic of a chevron test specimen used for bonded interface characterization. The surface of one wafer or the bonding interlayer is patterned in the form of a V-shaped notch prior to bonding. After

Geometry

Fig. 1b shows a schematic diagram of the specimen geometry considered. The specimen length, W (9 mm), width, B (10 mm), and the notch angle θ (=90°) are fixed in the present study. This geometry corresponds to a specimen with nominal dimensions of 10 × 10 mm. However, the effective length is taken as 9 mm as the studs that are glued on the specimen transfer the load to the specimen 1 mm from the edge. The parameters that are varied in the model are the initial crack length a0 (and therefore, initial

Comparison of the VCCT and TSEM

Fig. 4 shows G as a function of thickness ratio calculated using both the VCCT and TSEM. There is excellent agreement between the two methods. While the result shown is for a particular initial crack length ratio, the agreement between VCCT and TSEM has been verified for all of the cases examined in this work. For all subsequent results and discussions, the results from the VCCT will be used, as it provides the GI and GII values that are needed for the calculation of the phase angle ψ (Eq. (5)).

Discussion

The excellent agreement between the G values calculated using the VCCT and TSEM, as well as convergence studies, demonstrate that the FE model is robust across a wide range of geometric parameters and that the mesh density is adequate. The FE calculations of Ymin are, in general, consistent with the Ymin values derived from FE calculations for a 10 × 10 mm Mode I wafer-bonded chevron specimen with layers of equal thickness reported by Bagdahn et al. [12]. Ymin, as given by Eq. (6), was compared to

Summary

FE analysis of bonded chevron specimens with different layer thicknesses has been used to demonstrate that this technique can be used to characterize the bonded interface under mixed-mode loading conditions. Bonded (100) silicon specimens, with total thicknesses in a range representative of typical semiconductor wafers (0.2–2 mm), and with nominal in-plane geometries of 10 × 10 mm, were analyzed. First, the capability of the model was verified by comparing strain energy release rates obtained using

References (20)

There are more references available in the full text version of this article.

Cited by (11)

  • Effect of adhesion defects on crack propagation in double cantilever beam test

    2018, International Journal of Adhesion and Adhesives
    Citation Excerpt :

    Interface patterning can be treated like heterogeneities because it varies local bondline strength and the fracture energy of the assembly is no longer constant. Previously, experimental studies have been conducted to measure the adhesion of such patterned interfaces, disclosing orientation and size effects of patterns, e.g. Litteken and Dauskart [9], Tadepalli and Turner [10], Tadepalli et al. [11] and Cuminatto et al. [12]. In Cuminatto et al. [13], a model was developed to characterize bonding of patterned interfaces.

  • A model for patterned interfaces debonding - Application to adhesion tests

    2015, International Journal of Solids and Structures
    Citation Excerpt :

    But this kind of approach is only valid for small adhesion contrasts and cannot be transferred to the case of lines spaced by empty channels. In this second case, if plastic dissipation is negligible, it seems that a simple correction based on the area of contact surface between the lines and the substrate can be applied to get the local fracture energy (Tadepalli et al., 2008; Tadepalli and Turner, 2008). Nevertheless, if plastic dissipation occurs, the line size can affect the apparent toughness as observed by Litteken and Dauskardt (2003) on polymer lines on silica: the narrower the lines, the higher the toughness.

  • Material size effects on crack growth along patterned wafer-level Cu-Cu bonds

    2013, International Journal of Mechanical Sciences
    Citation Excerpt :

    Even if the atomic separation process is modeled by a continuum cohesive law, as will be the case here, the scale at which the cohesive law operates is the atomic scale and this scale must be resolved by the numerical method of Wei and Hutchinson [8], and O'Day et al. [9]. The present paper has been heavily motivated by the experimental studies of interface fracture of Tadepalli and Turner [13] and Tadepalli et al. [14–15] who created fracture specimens comprising thin Cu films (≈400 nm) sandwiched between “thick” Si substrates. The interface on which separation occurred was patterned with strips of Cu alternating with unbonded strips of the same width in the range 2–250 μm.

  • Analyses of crack growth along interface of patterned wafer-level Cu-Cu bonds

    2009, International Journal of Solids and Structures
  • Evaluation of the reliability of film adhesives under hygrothermal condition

    2011, ASME 2011 Pacific Rim Technical Conference and Exhibition on Packaging and Integration of Electronic and Photonic Systems, InterPACK 2011
View all citing articles on Scopus
View full text