High-K materials and metal gates for CMOS applications

https://doi.org/10.1016/j.mser.2014.11.001Get rights and content

Abstract

The scaling of complementary metal oxide semiconductor (CMOS) transistors has led to the silicon dioxide layer used as a gate dielectric becoming so thin that the gate leakage current becomes too large. This led to the replacement of SiO2 by a physically thicker layer of a higher dielectric constant or ‘high-K’ oxide such as hafnium oxide. Intensive research was carried out to develop these oxides into high quality electronic materials. In addition, the incorporation of Ge in the CMOS transistor structure has been employed to enable higher carrier mobility and performance. This review covers both scientific and technological issues related to the high-K gate stack – the choice of oxides, their deposition, their structural and metallurgical behaviour, atomic diffusion, interface structure, their electronic structure, band offsets, electronic defects, charge trapping and conduction mechanisms, reliability, mobility degradation and oxygen scavenging to achieve the thinnest oxide thicknesses. The high K oxides were implemented in conjunction with a replacement of polycrystalline Si gate electrodes with metal gates. The strong metallurgical interactions between the gate electrodes and the HfO2 which resulted an unstable gate threshold voltage resulted in the use of the lower temperature ‘gate last’ process flow, in addition to the standard ‘gate first’ approach. Work function control by metal gate electrodes and by oxide dipole layers is discussed. The problems associated with high K oxides on Ge channels are also discussed.

Introduction

The incorporation of high-K dielectrics with metal gates into a manufacturable, high volume transistor process is the result of tremendous ingenuity and effort by many scientists and engineers [1]. We review that progress in this article, with an emphasis on the key developments in the high-K/metal gate stack process. We also summarise recent results on incorporation of Ge in the transistor, which appears to provide a viable route to higher performance technology.

It is more than a decade since high-K gate dielectric research for Si-based transistor technology was first reviewed [2], [3]. We begin this review with a brief summary of the scaling issues that drove the incorporation of high-K dielectrics and metal gate technologies. We then discuss the materials chemistry of the high-K dielectrics employed in transistor fabrication. This is followed by a review of the current understanding of the high-K/semiconductor interfacial bonding. Defects, which play an important role in high-K dielectrics, are then discussed. Of course, the electrical performance of the transistor is paramount, and we review the recent developments, including work function control for the transistor gate stack.

The complementary metal oxide semiconductor (CMOS) field effect transistor (FET) made from silicon is the most important electronic device. This has arisen because of its low power consumption and its continuing performance improvement over 40 years following Moore's Law of scaling. This “law” notes that the number of devices on an integrated circuit increases exponentially, doubling every 2–3 years. The minimum feature size in a transistor decreases exponentially each year (Fig. 1).

Until recently the materials and elements used in CMOS technology were very few – Si, O, P, Al, B, H and N. Now the situation has reversed. New materials are being introduced in many areas, from Cu instead of Al for interconnects, low dielectric constant materials such as SiOCH for inter-metal dielectrics, silicides as contact metals, and diffusion barrier materials such as TiN.

The SiO2 layer used as the gate dielectric is now so thin (∼1.2 nm) that the gate leakage current due to direct tunnelling of electrons through the SiO2 becomes too high, exceeding 1 A/cm2 at 1 V (Fig. 2). This means that the static power dissipation would be unacceptable [2], [3], [4], [5], [6], [7], [8], [9], [10], [11], [12], [13]. In addition it becomes increasingly difficult to make such thin films, and they become increasingly unreliable. Thus, SiO2 had to be replaced.

An FET is a capacitance-operated device, where the FET source–drain current depends on the gate capacitance, which is most simply expressed asC=ε0KAt

where ɛ0 is the permittivity of free space, K is the relative dielectric constant, A is the area and t is the oxide thickness. Tunnelling currents decrease exponentially with increasing distance. Hence, the solution to the tunnelling problem is to replace SiO2 with a physically thicker layer of new material of higher K, as shown in transmission electron microscope images Fig. 3. This will keep the same capacitance, but decrease the tunnelling current. These new gate oxides are called ‘high K oxides’.

For a device designer, as the precise material does not matter, it is convenient to define an electrical thickness of a new oxide in terms of its equivalent silicon dioxide thickness or ‘equivalent oxide thickness’ (EOT)tox=EOT=3.9KtHiK

Here, 3.9 is the static dielectric constant of SiO2. The objective is to develop high K oxides to allow scaling to continue to very low EOT values.

The gate leakage problem has been apparent since the late 1990s [14], but the criteria for choosing the oxide were unclear. In about 2001, the choice of oxide had narrowed to HfO2, but the problems of making HfO2 into a successful electronic material were great. Nevertheless, the increasing importance the low-power electronics in cell-phones, lap-tops, and portable electronics mean that the problem had to be solved. Low standby power CMOS requires a leakage current of below 1.5 × 10−2 A/cm2 rather than just 1 A/cm2. There were many difficulties in manufacturing high K oxides/metal-gate stacks but these were gradually overcome. Intel now manufactures the chips with second generation of high K/metal K stacks [1], [15] and have now implemented high K for FinFET structures as well.

The second critical issue was the realisation that metallurgical problems would limit the introduction of the high K/metal gate stack in an exact replica of the SiO2 gate process (gate first) [16], and that instead a ‘replacement gate’, ‘dummy gate’, or ‘gate last’ process [15] would need to be used, to limit the exposure of high K/metal gate interface to high temperatures.

In a key early paper, Gusev et al. [17] identified four key problems for successful introduction of high K oxides-

  • (1)

    be able to continue scaling to lower EOTs,

  • (2)

    stop the gate threshold instabilities caused by the high defect densities,

  • (3)

    limit the loss of carrier mobility in the Si channel when using high K oxides,

  • (4)

    control the gate threshold voltage, which resulted in the need for metal gates.

Viewing the Si – gate stack band diagram of a MOSFET (Fig. 4), the gate capacitance is the series combination of three terms, the oxide capacitance, the depletion capacitance of the gate electrode, and the capacitance of the Si channel carriers. These three capacitances add as (Fig. 5).1C=1Cox+1CD+1CSi

As C varies as 1/t, capacitors in series combine as a sum of effective distances. Thus we can define an ‘effective capacitance thickness’ ECT of the whole gate stack asECT=tinv=EOT+tgate+tSi

ECT is also known as the inversion thickness tinv.

The channel capacitance CSi arises because the 2-dimensional electron gas of carriers in the channel cannot lie infinitely close to its surface, but delocalises a few Angstroms into the Si. This capacitance contribution is intrinsic and cannot easily be changed. High-k dielectric materials are often first evaluated using a MOS capacitor structure, and sunsequently utilising a transistor structure measuring the substrate (depletion) and source/drain (inversion) capacitance (“Split CV”) independently [18], [19].

Previously, the gate electrode was made out of degenerately doped polycrystalline silicon (poly-Si). This is stable at high temperatures and compatible with SiO2. Poly-Si is a reasonable metal, but it is not a good enough metal as its relatively low carrier density gives a depletion depth of a few Å. In contrast, a good metal has a much higher carrier density and a depletion depth of only 0.5 Å. This depletion effect is removed by replacing poly-Si with a normal metal. The effect on ECT of the replacement of SiO2 by a high K oxide and poly-Si gate electrode by a metal is shown schematically in Fig. 5. As noted below, the work function of the metal is a critical property to enable proper NMOS or PMOS FET operation.

The ECT is the only thickness that can be measured directly, by capacitance–voltage (CV) methods. On the other hand, EOT can only be estimated by, for example, electron microscopy measurements of oxide thickness, or by back calculating from ECT. EOT is useful, but is not a direct electrical parameter for FETs.

A metal gate material must also be carefully chosen. It is chosen primarily for its work function and its thermal robustness, as discussed in detail in Section 6.

Section snippets

Choice of high K oxide

Silicon dioxide is the main reason that microelectronics uses Si technology and not another semiconductor. As a semiconductor, Si has average performance, but in most respects SiO2 is an excellent insulator. SiO2 has the key advantage that it can be made from Si simply by thermal oxidation, whereas every other semiconductor (Ge, GaAs, GaN, SiC…) has a poor native oxide or poor interface with its oxide. SiO2 is amorphous, has very few electronic defects and forms an excellent, abrupt interface

Atomic layer deposition

The great advantage of SiO2 was that it can be grown by high temperature thermal oxidation of the Si substrate. In contrast, high K oxides must be deposited. Previously, deposited oxides were never as good as SiO2 thermal oxides, but they now have sufficient reliability to be used in transistor technology.

Several deposition methods have been employed in researching high-K dielectrics [68], [69], [70]. Sputtering is a physical vapour deposition (PVD) method. Its advantage is that it is broadly

Nature of bonding in high K oxides

The high K oxides of interest, except for Al2O3, are closed shell transition metal oxides [103], [104]. Al2O3 is an s,p bonded oxide. Fig. 17a and b show the density of states (DOS) and screened exchange band structure of corundum Al2O3 the most stable crystalline phase. The top of the valence band lies at 0 eV and the band gap lies from 0 to 8.8 eV [105]. The bonding in Al2O3 is more ionic than in SiO2, and its atoms have ionic coordinations of 6 for Al and 4 for O. However, its electronic DOS

HfO2

A problem with high K oxides [199] is that they can contain a much higher concentration of defects than in SiO2. The two defects in HfO2 with the lowest formation energy are the oxygen vacancy and the oxygen interstitial, Fig. 32a and b, according to Foster et al. [200], [201]. Metal site defects cost more, because of their higher coordinations.

First, consider the oxygen vacancy in HfO2. Recall that the valence band of HfO2 consists mainly of O p states and the conduction band consists mainly

Work function requirements of metal gates

The purpose of the gate electrode in CMOS is to shift the surface Fermi level EF of the channel to the other band edge, to invert the transistor. An NMOS FET consists of a p-doped Si channel. A gate electrode of low work function (∼4.05 eV) will move its surface Fermi level from the Si valence band to the conduction band (Fig. 41), inverting the channel. Similarly, a PMOS device has a n-doped Si channel, and a gate with a work function of 5.15 eV shifts its EF to its valence band, inverting the

Mobility degradation

The objective of device scaling is to create smaller, faster devices. High speed requires high source–drain current, which in turn depends on the carrier mobility. Carriers in the FET behave like a two-dimensional electron gas. The carrier density is determined by the vertical (gate) electric field which induces them. The carrier mobility in a 2D electron gas is found to depend in a ‘universal’ way on the gate field, according to a so-called ‘universal mobility model’, such as that by Takagi

Ge/GeO2 interface

Germanium was employed for the original transistor, but was then abandoned in favour of Si due to its small band gap. There has recently been a resurgence of interest in Ge [313], [314], [315], [316], [317], [318], [319], primarily due to its high injection velocity needed for continued scaling of CMOS.

Of the possible alternative semiconductors to Si, Ge stands out as a solution for both higher electron and hole mobilities. Ge has the highest hole mobility of any tetrahedral semiconductor, much

Summary

This paper has reviewed the materials chemistry, bonding and electrical behaviour of oxides needed to replace SiO2 as the gate oxide in CMOS devices. The new oxides must satisfy six conditions to be acceptable as gate dielectrics, a high enough K value, thermal stability, kinetic stability, band offsets, good interface quality with Si, and low bulk defect density. HfO2 and Hf silicate have emerged as the preferred oxides typically with some nitrogen incorporation. The necessary deposition and

Acknowledgements

The authors would like to thank K. Xiong, K.Y. Tse, L. Lin for many calculations, P. McIntyre and S. Stemmer for illustrations, V. Afanasev, G. Bersuker, E. Cartier, L. Colombo, M. Copel, S. Takagi, and A. Toriumi for valuable discussions. R.M.W. acknowledges an IBM Faculty award and J.R. acknowledges funding from EPSRC.

References (382)

  • G. Bersuker

    J. Appl. Phys.

    (2006)
  • S. Guha et al.

    Appl. Phys. Lett.

    (2001)
  • S. Ferrari et al.

    J. Appl. Phys.

    (2004)
  • A. Stesmans

    Appl. Phys. Lett.

    (1996)
    A. Stesmans

    Appl. Phys. Lett.

    (1996)
  • K. Xiong et al.

    Appl. Phys. Lett.

    (2005)
  • J.L. Vossen et al.

    Thin Film Processes

    (1978)
  • M. Ritala et al.

    Science

    (2000)
  • J.P. Maria et al.

    J. Appl. Phys.

    (2001)
  • S. Stemmer et al.

    Jpn. J. Appl. Phys.

    (2003)
  • M.M. Frank et al.

    E.C.S. Solid Lett.

    (2013)
  • M.T. Bohr et al.

    IEEE Spectrum.

    (2007)
  • G. Wilk et al.

    Appl. Phys.

    (2001)
  • A.I. Kingon et al.

    Nature

    (2000)
  • J. Robertson

    Euro Phys. J. Appl. Phys.

    (2004)
  • M. Houssa

    High K Gate oxides

    (2003)
  • A.A. Demkov et al.

    Gate Oxides

    (2004)
  • H. Huff et al.

    Gate Oxides

    (2004)
  • J. Robertson

    Rep. Prog. Phys.

    (2006)
  • E.P. Gusev et al.

    IBM J. Res. Dev.

    (2006)
  • M. Ieong et al.

    Mater. Today

    (2006)
  • B.H. Lee et al.

    Mater. Today

    (2006)
  • B.H. Lee et al.

    IEEE Trans. ED

    (2008)
  • S.H. Lo et al.

    IEEE ED Lett.

    (1997)
  • K. Mistry et al.

    IEEE IEDM Tech Digest

    (2007)
  • M. Chudzik et al.

    IEEE Tech Digest VLSI

    (2007)
  • E.P. Gusev et al.

    Tech Digest IEDM

    (2001)
  • J. Koomen

    Solid-State Electron.

    (1973)
  • C.G. Sodini et al.

    Solid-State Electron.

    (1982)
  • J. Robertson

    J. Vac. Sci. Technol. B

    (2000)
  • H.J. Hubbard et al.

    J. Mater. Res.

    (1996)
  • D.G. Schlom et al.

    MRS Bull.

    (2002)
  • M. Copel et al.

    Appl. Phys. Lett.

    (2000)
  • M. Gutowski et al.

    Appl. Phys. Lett.

    (2002)
  • Y.H. Wu et al.

    IEEE ED Lett.

    (2000)
  • H. Iwai

    IEEE Tech Digest IEDM

    (2002)
    M.K.J. Okamoto et al.

    Proc. ESSDERC

    (2007)
  • J. Kwo

    Appl. Phys. Lett.

    (2000)
  • A. Fissel et al.

    J. Vac. Sci. Technol. B

    (2003)
  • G.D. Wilk et al.

    J. Appl. Phys.

    (2000)
  • J.-F. Damlencourt

    Solid-State Electron.

    (2003)
  • M.P. Agustin et al.

    J. Appl. Phys.

    (2006)
  • A. Deshpande et al.

    J. Appl. Phys.

    (2006)
  • C. Maunoury

    J. Appl. Phys.

    (2007)
  • M.T. Ho et al.

    Appl. Phys. Lett.

    (2005)
  • P.E. Batson

    Microsc. Microanal.

    (2005)
  • D. Schmeisser et al.

    MRS Symp. Proc.

    (2006)
  • P.-G. Mani-Gonzalez et al.

    J. Vac. Sci. Technol. A

    (2013)
  • J. Robertson et al.

    Appl. Phys. Lett.

    (1999)
  • X. Zhang et al.

    Phys. Rev. B

    (2003)
  • E.P. Gusev et al.

    Microelectron. Eng.

    (2001)
  • Cited by (570)

    View all citing articles on Scopus
    View full text