A New Method for Low-Capture-Power Test Generation for Scan Testing

Xiaoqing WEN
Yoshiyuki YAMASHITA
Seiji KAJIHARA
Laung-Terng WANG
Kewal K. SALUJA
Kozo KINOSHITA

Publication
IEICE TRANSACTIONS on Information and Systems   Vol.E89-D    No.5    pp.1679-1686
Publication Date: 2006/05/01
Online ISSN: 1745-1361
DOI: 10.1093/ietisy/e89-d.5.1679
Print ISSN: 0916-8532
Type of Manuscript: PAPER
Category: Dependable Computing
Keyword: 
scan testing,  capture power,  X-bit,  IR-drop,  

Full Text: PDF(814.9KB)>>
Buy this Article



Summary: 
Research on low-power scan testing has been focused on the shift mode, with little consideration given to the capture mode power. However, high switching activity when capturing a test response can cause excessive IR-drop, resulting in significant yield loss due to faulty test results. This paper addresses this problem with a novel low-capture-power X-filling method by assigning 0's and 1's to unspecified bits (X-bits) in a test cube to reduce the switching activity in capture mode. This method can be easily incorporated into any test generation flow, where test cubes can be obtained during ATPG or by X-bit identification. Experimental results show the effectiveness of this method in reducing capture power dissipation without any impact on area, timing, and fault coverage.


open access publishing via