Post-Silicon Bug Localization in Processors Using Instruction Footprint Recording and Analysis (IFRA) | IEEE Journals & Magazine | IEEE Xplore