skip to main content
10.1145/1289816.1289845acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article

Temperature-aware processor frequency assignment for MPSoCs using convex optimization

Published:30 September 2007Publication History

ABSTRACT

The increasing processing capability of Multi-Processor Systems-on-Chips (MPSoCs) is leading to an increase in chip power dissipation, which in turn leads to significant increase in chip temperature. An important challenge facing the MPSoC designers is to achieve the highest performance system operation that satisfies the temperature and power consumption constraints. The frequency of operation of the different processors and the application workload assignment play a critical role in determining the performance, power consumption and temperature profile of the MPSoC. In this paper, we propose novel convex optimization based methods that solve this important problem of temperature-aware processor frequency assignment, such that the total system performance is maximized and the temperature and power constraints are met. We perform experiments on several realistic SoC benchmarks using a cycle-accurate FPGA-based thermal emulation platform, which show that the systems designed using our methods meet the temperature and power consumption requirements at all time instances, while achieving maximum performance.

References

  1. M. Viredaz and D. Wallacha, "Power evaluation of a handheld computer", IEEE Micro, January 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. S. Borkar, "Design challenges of technology scaling", IEEE Micro, July-Aug 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. O. Semenov et al., "Impact of self-heating effect on long-term reliability and performance degradation in CMOS circuits", IEEE Transactions on Devices and Materials, March 2006.Google ScholarGoogle ScholarCross RefCross Ref
  4. H. Aydin et al., "Dynamic and aggressive scheduling techniques for power-aware real-time systems", Proc. IEEE Real-Time Systems Symposium, pp. 95--105, Dec. 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Y. Shin et al., "Power optimization of real-time embedded systems on variable speed processors", Proc. ICCAD, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. R. Jejurikar, R. Gupta, "Optimized Slowdown in Real-Time Task Systems", Proc. ECRTS, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. A. Ramalingam et al., "Accurate Thermal Analysis Considering Nonlinear Thermal Conductivity", Proc. ISQED 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. T.-Y. Wang and C.-P. Chen, "3-d thermal-adi: A linear-time chip level transient thermal simulator," IEEE TCAD, December 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. J. Deeney, "Thermal modeling and measurement of large high power silicon devices with asymmetric power distribution," Proc. of the International Symposium on Microelectronics, 2002.Google ScholarGoogle Scholar
  10. B. Goplen and S. Sapatnekar, "Efficient thermal placement of standard cells in 3d ics using a force directed approach", Proc. ICCAD 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. T. Wang and C. Chen, "Spice-compatible thermal simulation with lumped circuit modeling for thermal reliability analysis based on model order reduction," 2004.Google ScholarGoogle Scholar
  12. H. Qian et al., "Random walks in a supply network," in Proceedings of the 40th Design Automation Conference (DAC). ACM Press, 2003, pp. 93--98. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. H. Qian and S. Sapatnekar, "Hierarchical random-walk algorithms for power grid analysis in a supply network", Proc. ASPDAC, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Y. Zhan and S. Sapatnekar, "Fast computation of the temperature distribution in vlsi chips using the discrete cosine transform and table look-up", ASPDAC 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. K. Skadron et al., "Temperature-aware microarchitecture: Modeling and implementation", TACO, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. H. Su et al., "Full chip leakage estimation considering power supply and temperature variations", Proc. ISLPED, Aug. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. K. Skadron et al., "Thermal-rc modeling for accurate and localized dynamic thermal management," Proc. IEEE HPCA, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. J. Srinivasan and S. V. Adve, "Predictive dynamic thermal management for multimedia applications", ICS03, June 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. M. Huang et al., "A framework for dynamic energy efficiency and temperature management", MICRO, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. D. Brooks and M. Martonosi, "Dynamic thermal management for high-performance microprocessors," HPCA, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. C. Chu and D. Wong, "A matrix synthesis approach to thermal placement," Proc. TCAD, Nov 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. C. Tsai and S. Kang, "Cell-level placement for improving substrate thermal distribution", Proc. TCAD, Feb 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. G. Chen and S.Sapatnekar, "Partition-driven standard cell thermal placement," ISPD 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. B. Goplen and S. Sapatnekar, "Thermal via placement in 3d ics," ISPD, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. E. Rohou and M. Smith, "Dynamically managing processor temperature and power", Proc. of 2th workshop on Feedback-directed optimization, November 1999.Google ScholarGoogle Scholar
  26. W. Hung et al., "Thermal-aware allocation and schedulilng for systems-on-chip", DATE, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. J. Donald, M. Martonosi, "Techniques for Multicore Thermal Management: Classification and New Exploration", Proc. ISCA, pp. 77--88, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. D. Atienza et al., "A Fast HW/SW FPGA-Based Thermal Emulation Framework for Multi-Processor System-on-Chip", Proc. DAC, pp. 618--623, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. G. Paci et al., "Exploring temperature-aware design in low-power MPSoCs", Proc. DATE, pp. 838--843, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. S. Murali, et al., "Mapping and con.guration methods for multi-use-case networks on chips", Proc. of ASP-DAC, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. S. Boyd and L. Vandenberghe. Convex Optimization. Cambridge University Press, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. F. Angiolini, et al., "Contrasting a NoC and a traditional interconnect fabric with layout awareness", Proc. of DATE, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. S. B. Furber, ARM System-on-Chip Architecture, Addison-Wesley Longman Publishing Co., 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. M. Grant, S. Boyd, and Y. Ye. CVX: Matlab software for disciplined convex programming, version 1.0 beta 3. Available at www.stanford.edu/~boyd/cvx/.Google ScholarGoogle Scholar
  35. O. Takahashi, et al., "Power-conscious design of the cell processor's synergistic processor element." IEEE Micro, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Temperature-aware processor frequency assignment for MPSoCs using convex optimization

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      CODES+ISSS '07: Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis
      September 2007
      284 pages
      ISBN:9781595938244
      DOI:10.1145/1289816

      Copyright © 2007 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 30 September 2007

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      Overall Acceptance Rate280of864submissions,32%

      Upcoming Conference

      ESWEEK '24
      Twentieth Embedded Systems Week
      September 29 - October 4, 2024
      Raleigh , NC , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader