skip to main content
10.1145/1555754.1555809acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article

Phastlane: a rapid transit optical routing network

Published:20 June 2009Publication History

ABSTRACT

Tens and eventually hundreds of processing cores are projected to be integrated onto future microprocessors, making the global interconnect a key component to achieving scalable chip performance within a given power envelope. While CMOS-compatible nanophotonics has emerged as a leading candidate for replacing global wires beyond the 22nm timeframe, on-chip optical interconnect architectures proposed thus far are either limited in scalability or are dependent on comparatively slow electrical control networks.

In this paper, we present Phastlane, a hybrid electrical/optical routing network for future large scale, cache coherent multicore microprocessors. The heart of the Phastlane network is a low-latency optical crossbar that uses simple predecoded source routing to transmit cache-line-sized packets several hops in a single clock cycle under contentionless conditions. When contention exists, the router makes use of electrical buffers and, if necessary, a high speed drop signaling network. Overall, Phastlane achieve 2X better network performance than a state-of-the-art electrical baseline while consuming 80% less network power.

References

  1. V. R. Almeida, C. A. Barrios, R. R. Panepucci, M. Lipson, M. A. Foster, D. G. Ouzounov, and A. L. Gaeta. All-Optical Switching on a Silicon Chip. Optics Letters, 29(24):2867--2869, 2004.Google ScholarGoogle ScholarCross RefCross Ref
  2. J. Balfour and William Dally. Design Tradeoffs for Tiled CMP On-Chip Networks. In International Conference on Supercomputing, June 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. W. Bogaerts, P. Dumon, D. V. Thourhout, and R. Baets. Low-Loss, Low-Cross-Talk Crossings for Silicon-on-Insulator Nanophotonic Waveguides. Optics Letters, 32(19):2801--2803, 2007.Google ScholarGoogle Scholar
  4. G. Chen, Hui Chen, Mikhail Haurylau, Nicholas Nelson, Philippe Fauchet, Eby Friedman, and David Albonesi. Predictions of CMOS Compatible On-Chip Optical Interconnect. In Proceedings of the International Workshop on System Level Interconnect, April 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. W. Dally and B. Towles. Principles and Practices Of Interconnection Networks. Morgan Kaufmann, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. N. E. Jerger, L.-S. Peh, and M. Lipasti. Virtual Circuit Tree Multicasting: A Case for On-Chip Hardware Multicast Support. In Proc. 35th IEEE/ACM International Symposium on Computer Architecture, June 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. A. Joshi, C. Batten, Y.-J. Kwon, S. Beamer, I. Shamim, K. Asanovic, and V. Stojanovic. Silicon-Photonic Clos Networks for Global On-Chip Communication. In Third ACM/IEEE International Symposium on Networks-on-Chip, May 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. N. Kirman, M. Kirman, R. K. Dokania, J. F. Martinez, A. B. Apsel, M. A. Watkins, and D. H. Albonesi. Leveraging Optical Technology in Future Bus-based Chip Multiprocessors. In Proc. IEEE/ACM 39th Annual International Symposium on Microarchitecture, Dec. 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. A. Kumar, Li-Shiuan Peh, Partha Kundu, and Niraj Jha. Express Virtual Channels: Towards the Ideal Interconnection Fabric. In Proc. 34th IEEE/ACM International Symposium on Computer Architecture, June 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. R. Kumar, Dean Tullsen, and Norman Jouppi. Core Architecture Optimization for Heterogeneous Chip Multiprocessors. In Parallel Architectures and Compilation Techniques, Sept. 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. N. McKeown. The iSLIP Scheduling Algorithm for Input-Queued Switches. ACM Transactions on Networking, 7(2):188--201, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. D. Miller. Rationale and Challenges for Optical Interconnects to Electronic Chips. Proceedings of the IEEE, 88(6):728--749, 2000.Google ScholarGoogle ScholarCross RefCross Ref
  13. M. Paniccia, V. Krutul, R. Jones, O. Cohen, J. Bowers, A. Fang, and H. Park. A Hybrid Silicon Laser. White Paper, Intel Corporation, 2006.Google ScholarGoogle Scholar
  14. H. Park, Y. hao Kuo, A. W. Fang, R. Jones, O. Cohen, M. J. Paniccia, and J. E. Bowers. A Hybrid AlGaInAs-Silicon Evanescent Preamplifier and Photodetector. Optics Express, 15(21):13539--13546, 2007.Google ScholarGoogle Scholar
  15. K. Preston, P. Dong, B. Schmidt, and M. Lipson. High-Speed All-Optical Modulation Using Polycrystalline Silicon Microring Resonators. Applied Physics Letters, 92(15):151104, 2008.Google ScholarGoogle Scholar
  16. J. Renau, B. Fraguela, J. Tuck, W. Liu, M. Prvulovic, L. Ceze, S. Sarangi, P. Sack, K. Strauss, and P. Montesinos. Sesc simulator. http://sesc.sourceforge.net, 2005.Google ScholarGoogle Scholar
  17. Semiconductor Industry Association. International Technology Roadmap for Semiconductors, 2008.Google ScholarGoogle Scholar
  18. A. Shacham, Keren Bergman, and Luca Carloni. On the Design of a Photonic Network-on-chip. In First International Symposium on Networks-on-Chip, May 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. L. Shiuan Peh and William Dally. A Delay Model and Speculative Architecture for Pipelined Routers. In International Symposium on High-Performance Computer Architecture, Jan. 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. J. Tatum. VCSELs for 10 GB/s Optical Interconnects. Broadband Communications for the Internet Era Symposium Digest, 2001 IEEE Symposium on Emerging Technologies, Sept. 2001.Google ScholarGoogle ScholarCross RefCross Ref
  21. D. Vantrease, Robert Schreiber, Matteo Monchiero, Moray McLaren, Normal Jouppi, Marco Fiorentino, A. David, Nathan Binkert, Raymond Beausoleil, and Jung Ho Ahn. Corona: System Implications of Emerging Nanophotonic Technology. In Proc. 35th IEEE/ACM International Symposium on Computer Architecture, June 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. T. Woodward and A. Krishnamoorthy. 1-Gb/s Integrated Optical Detectors and Receivers in Commercial CMOS Technologies. IEEE Journal on Selected Topics in Quantum Electronics, 5(2):146--156, 1999.Google ScholarGoogle ScholarCross RefCross Ref
  23. F. Xia, L. Sekaric, and Y. Vlasov. Ultracompact Optical Buffers on a Silicon Chip. Nature Photonics, 1:65--71, 2006.Google ScholarGoogle ScholarCross RefCross Ref
  24. Q. Xu and M. Lipson. All-Optical Logic Based on Silicon Micro-Ring Resonators. Optics Express, 15(3):924--929, 2007.Google ScholarGoogle Scholar

Index Terms

  1. Phastlane: a rapid transit optical routing network

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISCA '09: Proceedings of the 36th annual international symposium on Computer architecture
      June 2009
      510 pages
      ISBN:9781605585260
      DOI:10.1145/1555754
      • cover image ACM SIGARCH Computer Architecture News
        ACM SIGARCH Computer Architecture News  Volume 37, Issue 3
        June 2009
        495 pages
        ISSN:0163-5964
        DOI:10.1145/1555815
        Issue’s Table of Contents

      Copyright © 2009 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 20 June 2009

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate543of3,203submissions,17%

      Upcoming Conference

      ISCA '24

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader