Abstract
We survey recent research that has appeared in the theoretical computer science literature on algorithmic problems related to power management. We will try to highlight some open problem that we feel are interesting. This survey places more concentration on lines of research of the authors: managing power using the techniques of speed scaling and power-down which are also currently the dominant techniques in practice.
- http://www-bsac.eecs.berkeley.edu/archive/users/warneke-brett/SmartDust/.Google Scholar
- http://www.microsoft.com/windows2000/techenthusiast/features/standby 1127.asp.Google Scholar
- http://www2.parc.com/spl/projects/cosense/csp/ slides/Srivastava.pdf.Google Scholar
- http://www.rockwellscientific.com/hidra/.Google Scholar
- J. Augustine, S. Irani, K. Pruhs, and P. Uthaisombut. unpublished manuscript.Google Scholar
- John Augustine, Sandy Irani, and Chaitanya Swamy. Optimal power-down strategies. In Foundations of Computer Science, 2004. Google ScholarDigital Library
- N. Bansal, T. Kimbrel, and K. Pruhs. Dynamic speed scaling to manage energy and temperature. In IEEE Symposium on Foundations of Computer Science, 2004. Google ScholarDigital Library
- N. Bansal and K. Pruhs. Speed scaling to manage temperature. In Symposium on Theoretical Aspects of Computer Science, 2005. Google ScholarDigital Library
- Shekhar Borkar. Design challenges of technology scaling. IEEE Micro, 19(4):23--29, 1999. Google ScholarDigital Library
- Stephen Boyd and Lieven Vandenberghe. Convex Optimization. Cambridge University Press, 2004. Google ScholarDigital Library
- David M. Brooks, Pradip Bose, Stanley E. Schuster, Hans Jacobson, Prabhakar N. Kudva, Alper Buyuktosunoglu, John-David Wellman, Victor Zyuban, Manish Gupta, and Peter W. Cook. Power-aware microarchitecture: Design and modeling challenges for next-generation microprocessors. IEEE Micro, 20(6):26--44, 2000. Google ScholarDigital Library
- Giorgio Buttazzo. Hard Real-Time Computing Systems. Kluwer, 1997. Google ScholarDigital Library
- Anantha Chandrakasan, Rex Min, Manish Bhardwaj, Seong-Hwan Cho, and Alice Wang. Power aware wireless microsensor systems. In European Solid-State Circuits Conference, 2002.Google ScholarCross Ref
- Carla Schlatter Ellis. The case for higher-level power management. In IEEE Workshop on Hot Topics in Operating Systems, 1999. Google ScholarDigital Library
- C. Guo, L. C. Zhong, and J. M. Rabaey. Low power distributed mac for ad hoc sensor radio networks. In Proceedings of IEEE GlobeCom, 2001.Google Scholar
- S. Irani, R. K. Gupta, and S. Shukla. Algorithms for Power Savings. In ACM/SIAM Symposium on Discrete Algorithms, 2003. Google ScholarDigital Library
- S. Irani, R. Gupta, and S. Shukla. Competitive analysis of dynamic power management strategies for systems with multiple power savings states. In IEEE Conference on Design, Automation and Test in Europe, 2002. Google ScholarDigital Library
- S. Irani and A. Karlin. Online computation. In Dorit Hochbaum, editor, Approximations for NP-Hard Problems. PWS Publishing Co., 1995. Google ScholarDigital Library
- Sandy Irani, Sandeep Shukla, and Rajesh Gupta. Online strategies for dynamic power management in systems with multiple power saving states. Trans. on Embedded Computing Sys., 2003. Special Issue on Power Aware Embedded Computing. Google ScholarDigital Library
- R. Jejurikar and R. Gupta. Procrastination scheduling for fixed priority real-time systems. In Proc. of ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems, 2004. Google ScholarDigital Library
- R. Jejurikar, C. Pereira, and R. Gupta. Leakage aware energy efficient task scheduling in embedded real-time systems. In Proceedings of the Design Automation Conference, 2004.Google Scholar
- J. M. Kahn, R. H. Katz, and K. S. J. Pister. Next century challenges: mobile networking for smart dust. In Proceedings of the 5th annual ACM/IEEE international conference on Mobile computing and networking, pages 271--278, 1999. Google ScholarDigital Library
- Bala Kalyanasundaram and Kirk Pruhs. Speed is as powerful as clairvoyance. J. ACM, 47(4):617--643, 2000. Google ScholarDigital Library
- A Karlin, M. Manasse, L. McGeoch, and S. Owicki. Randomized competitive algorithms for nonuniform problems. In ACM-SIAM Symposium on Discrete Algorithms, pages 301--309, 1990. Google ScholarDigital Library
- W. Kwon and T. Kim. Optimal voltage allocation techniques for dynamically variable voltage processors. In Design Automation, 2003. Google ScholarDigital Library
- Minming Li, Becky Jie Liu, and Frances F. Yao. Min-energy voltage allocation for tree-structured tasks. In International Computing and Combinatorics Conference, 2005. Google ScholarDigital Library
- John Markov. http://www.iht.com/articles/520233.html.Google Scholar
- Trevor Mudge. Power: A first-class architectural design constraint. Computer, 34(4):52--58, 2001. Google ScholarDigital Library
- Kirk Pruhs, Jiri Sgall, and Eric Torng. Online scheduling. In Handbook on Scheduling. CRC Press, 2004.Google Scholar
- Kirk Pruhs, Patchrawat Uthaisombut, and Gerhard Woeginger. Getting the best response for your erg. In Scandanavian Workshop on Algorithms and Theory, 2004.Google ScholarCross Ref
- D. Ramanathan, S. Irani, and R. K. Gupta. Latency Effects of System Level Power Management Algorithms. In IEEE International Conference on Computer-Aided Design, 2000. Google ScholarDigital Library
- D. Ramanathan, S. Irani, and R. Gupta. An Analysis of System Level Power Management Algorithms and their effects on Latency. IEEE Trans. on Computer Aided Design, 21(3), march 2002.Google Scholar
- Jerry E. Sergent and Al Krum. Thermal Management Handbook. McGraw-Hill, 1998.Google Scholar
- Kevin Skadron, Mircea R. Stan, Wei Huang, Sivakumar Velusamy, Karthik Sankaranarayanan, and David Tarjan. Temperature-aware microarchitecture. In International Symposium on Computer Architecture, pages 2--13, 2003. Google ScholarDigital Library
- Donald R. Smith. Variational Methods in Optimization. Prentice-Hall, 1974.Google Scholar
- Vivek Tiwari, Deo Singh, Suresh Rajgopal, Gaurav Mehta, Rakesh Patel, and Franklin Baez. Reducing power in high-performance microprocessors. In Design Automation Conference, pages 732--737, 1998. Google ScholarDigital Library
- F. Yao, A. Demers, and S. Shenker. A scheduling model for reduced cpu energy. In IEEE Symposium on Foundations of Computer Science, page 374, 1995. Google ScholarDigital Library
- Wei Ye, John Heidemann, and Deborah Estrin. An energy-efficient mac protocol for wireless sensor networks. In Proceedings 21st International Annual Joint Conference of the IEEE Computer and Communications Societies, 2002.Google Scholar
- H.S. Yun and J. Kim. On energy-optimal voltage scheduling for fixed priority hard real-time systems. ACM Transactions on Embedded Computing Systems, 2(3):393--430, 2003. Google ScholarDigital Library
- Technical specifications of hard drive IBM Travelstar VP 2.5inch, available at. http://www.storage.ibm.com/storage/oem/data/travvp.htm, 1996.Google Scholar
Index Terms
- Algorithmic problems in power management
Recommendations
Dynamic management of power consumption
Power aware computingPower consumption of electronic devices has become a serious concern in the recent years. Power efficiency is necessary to lengthen the battery lifetime in the portable systems, as well as to reduce the operational costs and the environmental impact of ...
Power Minimization Problems of Logic Networks
As a method for greatly reducing power dissipation in logic networks, we propose some logic organization techniques for logic networks. By such techniques, their power dissipation is to be minimized under certain input conditions, or the average power ...
Power-Management Specification in SystemC
DDECS '15: Proceedings of the 2015 IEEE 18th International Symposium on Design and Diagnostics of Electronic Circuits & SystemsPower consumption is the greatest concern in current highly-integrated hardware-system design. The power reduction is targeted mostly through power management, implementing such techniques as clock gating, power gating, or voltage and frequency scaling. ...
Comments