skip to main content
10.1145/1289816.1289877acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article

Predator: a predictable SDRAM memory controller

Authors Info & Claims
Published:30 September 2007Publication History

ABSTRACT

Memory requirements of intellectual property components (IP) in contemporary multi-processor systems-on-chip are increasing. Large high-speed external memories, such as DDR2 SDRAMs, are shared between a multitude of IPs to satisfy these requirements at a low cost per bit. However, SDRAMs have highly variable access times that depend on previous requests. This makes it difficult to accurately and analytically determine latencies and the useful bandwidth at design time, and hence to guarantee that hard real-time requirements are met.

The main contribution of this paper is a memory controller design that provides a guaranteed minimum bandwidth and a maximum latency bound to the IPs. This is accomplished using a novel two-step approach to predictable SDRAM sharing. First, we define memory access groups, corresponding to precomputed sequences of SDRAM commands, with known efficiency and latency. Second, a predictable arbiter is used to schedule these groups dynamically at run-time, such that an allocated bandwidth and a maximum latency bound is guaranteed to the IPs. The approach is general and covers all generations of SDRAM. We present a modular implementation of our memory controller that is efficientlyintegrated into the network interface of a network-on-chip. The area of the implementation is cheap, and scales linearly with the number of IPs. An instance with six ports runs at 200 MHz and requires 0.042mm2 in 0.13μm CMOS technology.

References

  1. B. Akesson et al. Real-Time Scheduling of Hybrid Systems using Credit-Controlled Static-Priority Arbitration . Technical report, NXP Semiconductors, 2007. NXP-R-TN 2007/00119.Google ScholarGoogle Scholar
  2. A. Burchard et al. A real-time streaming memory controller. In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. R. Cruz. A calculus for network delay. I. Network elements in isolation. IEEE Trans. on Info. Theory, 37(1), 1991.Google ScholarGoogle Scholar
  4. S. Dutta et al. Viper: A multiprocessor SOC for advanced set-top box and digital TV systems. IEEE Design and Test of Computers, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. K. Goossens et al. Interconnect and memory organization in SOCs for advanced set-top boxes and TV ? Evolution, analysis, and trends. In Interconnect-Centric Design for Advanced SoC and NoC. Kluwer, 2004.Google ScholarGoogle Scholar
  6. K. Goossens et al. The Aethereal network on chip: Concepts, architectures, and implementations. IEEE Design and Test of Computers, 22(5), 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. A. Hansson and K. Goossens. Trade-offs in the configuration of a network on chip for multiple use-cases. In The 1st ACM/IEEE International Symposium on Networks-on-Chip, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. A. Hansson et al. Undisrupted quality-of-service during reconfiguration of multiple applications in networks on chip. In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. S. Heithecker and R. Ernst. Traffic shaping for an FPGA based SDRAM controller with complex QoS requirements. In DAC '05: Proceedings of the 42nd annual conference on Design automation, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. JEDEC Solid State Technology Association. DDR2 SDRAM Specification, JESD79-2C edition, May 2006.Google ScholarGoogle Scholar
  11. T.-C. Lin et al. Quality-aware memory controller for multimedia platform SoC. In IEEE Workshop on Signal Processing Systems, SIPS 2003, 2003.Google ScholarGoogle Scholar
  12. C. Macian et al. Beyond performance: Secure and fair memory management for multiple systems on a chip. In IEEE International Conference on Field-Programmable Technology (FPT), 2003.Google ScholarGoogle Scholar
  13. S. Rixner et al. Memory access scheduling. In ISCA '00: Proceedings of the 27th annual international symposium on Computer architecture, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. A. Radulescu et al. An efficient on-chip network interface offering guaranteed services, shared-memory abstraction, and flexible network programming. IEEE Trans. on CAD of Int. Circ. and Syst., 24(1), 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. F. Steenhof, et al. Networks on chips for high-end consumer-electronics TV system architectures. In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. D. Stiliadis and A. Varma. Latency-rate servers: a general model for analysis of traffic scheduling algorithms. IEEE/ACM Trans. Netw., 6(5), 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. J.-W. van de Waerdt et al. The TM3270 media-processor. In MICRO 38: Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. W.-D. Weber. Efficient Shared DRAM Subsystems for SOCs. Sonics, Inc, 2001. White paper.Google ScholarGoogle Scholar
  19. L. Woltjer. Optimal DDR controller. Master's thesis, University of Twente, Jan. 2005.Google ScholarGoogle Scholar
  20. H. Zhang. Service disciplines for guaranteed performance service in packet-switching networks. Proceedings of the IEEE, 83(10), 1995.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. Predator: a predictable SDRAM memory controller

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      CODES+ISSS '07: Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis
      September 2007
      284 pages
      ISBN:9781595938244
      DOI:10.1145/1289816

      Copyright © 2007 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 30 September 2007

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      Overall Acceptance Rate280of864submissions,32%

      Upcoming Conference

      ESWEEK '24
      Twentieth Embedded Systems Week
      September 29 - October 4, 2024
      Raleigh , NC , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader