skip to main content
10.1145/223587.223589acmconferencesArticle/Chapter ViewAbstractPublication PagesmetricsConference Proceedingsconference-collections
Article
Free Access

Talisman: fast and accurate multicomputer simulation

Authors Info & Claims
Published:01 May 1995Publication History

ABSTRACT

Talisman is a simulator that models the execution semantics and timing of a multicomputer. Talisman is unique in combining high semantic accuracy, high timing accuracy, portability, and good performance. This good performance allows users to run significant programs on large simulated multicomputers. The combination of high accuracy and good performance yields an ideal tool for evaluating architectural trade-offs. Talisman models the semantics of virtual memory, a circuit-switched internode interconnect, I/O devices, and instruction execution in both user and supervisor modes. It also models the timing of processor pipelines, caches, local memory buses, and a circuit-switched interconnect. Talisman executes the same program binary images as a hardware prototype at a cost of about 100 host instructions per simulated instruction. On a suite of accuracy benchmarks run on the hardware and the simulator, Talisman and the prototype differ in reported running times by only a few percent.

References

  1. 1.Robert C. Bedichek. Some efficient architecture simulation techniques. In Proceedings of the Winter 1990 USENIX Conference, pages 53-63, January 1990.Google ScholarGoogle Scholar
  2. 2.Robert C. Bedichek. The Meerkat Multicomputer: Trade-o.ffs in Mutticomputer Design. PhD thesis, University of Washington, August 1994. Department of Computer Science technical report 94-06-06 Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. 3.Jam~ R. lB~ll. Threaded code. Communicati~ms o/'the ACM (CACM), 16(2):370-372, June 1973. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. 4.Eric A. Brewer, Chrysanthos N. Dellacrocas, Adrian Colbrook, and William E Weihl. PROTEUS: A hlgh-performanceparallel-architecture s~mulator. Technical Report MIT/LCS/TR-516, Massachusetts Institute of Technology, 1991. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. 5.Enc A Brewer andWilliam E Weihl Developmg parallel applications using high-performance simulation. ACM/ONR Workshop on Parallel and Dist Debugging ACM SIGPLAN Notices, 28(12):158-168, December 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. 6.J. Bradley Chen and Bnan N Bershad. The impact of operating system performance on memory system performance Proceedings o{ the 14th ACM Sympostum on Operating System Principles, pages 120-133, December 1993 Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. 7.D.W. Clark. Pipelinlng and performance in the VAX-8800 processor. Symposium on A rc htte c tu raI Suppo rt f o r P ro g rammm g Languages and Operating Systems, October 1987. Google ScholarGoogle ScholarCross RefCross Ref
  8. 8.Robert E Cmelik and David Keppel. Shade: A fast instruction-set simulator for execution profiling. In Proceedings of the 1994 ACM SIGMETRICS Conference on Modeling and Measurement of Computer Systems, May 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. 9.R C Covington, S Madala, V Mehta, J.R. Jump, and J.B. Sinclair. The Rice parallel processing testbed. In Proceedings of the 1988 ACM SIGMETRICS and PERFORMANCE Conference, pages 4-11, May 1988. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. 10.Peter Deutsch and Alan M Schiffman. Efficient implementation of the Smalltalk-80 system l l th Annual Symposium on PrinctpIes of Programming Languages, pages 297-302, January 1984. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. 11.David R. Ditzel, Hubert R. MeLellan, and Alan D. Berenbaum The hardware architecture of the CRISP microprocessor In Proceedings of the 14th Annual International Symposium on Computer Architecture; Computer Architecture News, pages 309-319, June 1987 Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. 12.R. M. Fujimoto and W. B. Campbell. Efficient Instruction Level Simulation of Computers. Transacttons of the Soctety for Computer Stmulatton, 5(2):109-124, Apr. 1988. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. 13.Stephen R. Goldschmidt. Stmualtton of Multtprocessors: Accuracy and Pertormance. PhD thesis, Stanford University, June 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. 14.TG Lang, J.T. O'Quin, and R O Simpson. Threaded code interpreter for object code IBM Technical Disclosure Bulletin, pages 4238-4241, March 1986Google ScholarGoogle Scholar
  15. 15.Peter Magnusson Efficient simulation of parallel hardware Masters thesis. Royal Institute of Technology (KTH), Stockholm, Sweden, 1992Google ScholarGoogle Scholar
  16. 16.Peter Magnusson and Bengt Werner. Efficient memory simulation in StmlCS In Proceedings of the 28th Annual Stmulatton Sympostum, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. 17.Peter S. Magnusson. A design for efficient simulation of a mult~processor MASCOTS '93 - Proceedmgs oJ the 1993 Western Stmutatton Multtconference on International Workshop on Modeling, Analysts, and StmuIatton of Computer and Telecommuntcatton Systems, January 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. 18.Henry Massahn and Calton Pu. Threads and input/output m the Synthesis kernel. In Proceedings of the twelth ACM Sympostum on operating system principles, pages 191-201, December 1989. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. 19.Cathy May Mimic A fast S/370 simulator. In Proceedings o} the ACM SIGPLAN 1987 Symposium on Interpreters and Interpretive Techniques, SIGPLAN Notices, volume 22, pages 1-13, St. Paul, Minnesota, June 1987 Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. 20.MC88100 RISC Mtcroprocessor User's Manual. Motorola Corporation, 2900 South Dmblo Way, Tempe, Arizona,Google ScholarGoogle Scholar
  21. 21.MC88200 Cache~Memory Management User's Manual. Motorola Corporation, 2900 South Diablo Way, Tempe, Arizona.Google ScholarGoogle Scholar
  22. 22.Carl Ponder Personal communication, February 1994Google ScholarGoogle Scholar
  23. 23.Steven K. Reinhardt, Mark D, Hill, James R. Larus, Alvin R. Lebeck, James C. Lewis, and David A. Wood. The Wisconsin Wind Tunnel Virtual prototyping of parallel computers. Per~ormance Evaluatton Review, 21(l)'48-60, May 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. 24.Mendel Rosenblum and Emmett Witchel. SimOS. A Platform for Complete Workload Studies. Personal Communication (to be published), 1995Google ScholarGoogle Scholar
  25. 25.Margo Selzer, Peter Chen, and John Ousterhout. D~sk scheduling revisited. In Proceedings of the Wmter 1990 USENIX Conference, pages 313-324, January 1990.Google ScholarGoogle Scholar
  26. 26.Richard L. Sites, Anton Chernoff, Mathew B. Kerk, Maurice P Marks, and Scott G. Robinson Binary translation. Commumcattons of the ACM, pages 69-81, February 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. 27.Insignia Solutions. SoflPC Product Information, 1991.Google ScholarGoogle Scholar
  28. 28.Richard M. Stallman and Roland H. Pesch Using GDB: The GNU Source-LevelDebugger. Free Software Foundation, 545 Tech Square, Cambridge, Ma. 02139, March 1992Google ScholarGoogle Scholar

Index Terms

  1. Talisman: fast and accurate multicomputer simulation

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            SIGMETRICS '95/PERFORMANCE '95: Proceedings of the 1995 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems
            May 1995
            340 pages
            ISBN:0897916956
            DOI:10.1145/223587

            Copyright © 1995 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 1 May 1995

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • Article

            Acceptance Rates

            Overall Acceptance Rate459of2,691submissions,17%

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader