skip to main content
survey

A Survey on Optical Network-on-Chip Architectures

Published:06 December 2017Publication History
Skip Abstract Section

Abstract

Optical on-chip data transmission enabled by silicon photonics (SiP) is widely considered a key technology to overcome the bandwidth and energy limitations of electrical interconnects. The possibility of integrating optical links into the on-chip communication fabric has opened up a fascinating new research field—Optical Networks-on-Chip (ONoCs)—which has been gaining large interest by the community. SiP devices and materials, however, are still evolving, and dealing with optical data transmission on chip makes designers and researchers face a whole new set of obstacles and challenges. Designing efficient ONoCs is a challenging task and requires a detailed knowledge from on-chip traffic demands and patterns down to the physical layout and implications of integrating both electronic and photonic devices. In this paper, we provide an exhaustive review of recently proposed ONoC architectures, discuss their strengths and weaknesses, and outline active research areas. Moreover, we discuss recent research efforts in key enabling technologies, such as on-chip and adaptive laser sources, automatic synthesis tools, and ring heating techniques, which are essential to enable a widespread commercial adoption of ONoCs in the future.

References

  1. George Kurian, Jason E. Miller, James Psota, Jonathan Eastep, Jifeng Liu, Jurgen Michel, Lionel C. Kimerling, and Anant Agarwal. 2010. ATAC: a 1000-core cache-coherent processor with on-chip optical network. In Proceedings of the 19th International Conference on Parallel Architectures and Compilation Techniques. ACM, 477--488. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Somayyeh Koohi, Meisam Abdollahi, and Shaahin Hessabi. 2011. All-optical wavelength-routed NoC based on a novel hierarchical topology. In Proceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip. ACM, 97--104. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Pranay Koka, Michael O. McCracken, Herb Schwetman, Xuezhe Zheng, Ron Ho, and Ashok V. Krishnamoorthy. 2010. Silicon-photonic network architectures for scalable, power-efficient multi-chip systems. In ACM SIGARCH Computer Architecture News. ACM, 38, 3 (2010), 117--128. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Nevin Kirman, Meyrem Kirman, Rajeev K. Dokania, Jose F. Martinez, Alyssa B. Apsel, Matthew A. Watkins, and David H. Albonesi. 2006. Leveraging optical technology in future bus-based chip multiprocessors. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 492--503. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Fan Lan, Rui Wu, Chong Zhang, Yun Pan, and Kwang-ting Tim Cheng. 2017. DLPS: Dynamic laser power scaling for optical Network-on-Chip. In 22nd Asia and South Pacific Design Automation Conference (ASP-DAC'17). IEEE, 726--731.Google ScholarGoogle ScholarCross RefCross Ref
  6. K. Lawniczuk, R. Piramidowicz, P. Szczepanski, P. J. Williams, M. J. Wale, M. K. Smit, and X. J. M. Leijtens. 2011. 8-channel AWG-based multiwavelength laser fabricated in a multi-project wafer run. In Compound Semiconductor Week and 23rd International Conference on Indium Phosphide and Related Materials (CSW/IPRM'11). IEEE, 1--4.Google ScholarGoogle Scholar
  7. Matthew Kennedy and Avinash Kodi. 2015. Cross-Chip: Low power processor-to-memory nanophotonic interconnect architecture. In International Sixth Green Computing Conference and Sustainable Computing Conference (IGSC). IEEE, 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Yu-Hsiang Kao and H. Jonathan Chao. 2011. BLOCON: A bufferless photonic clos network-on-chip architecture. In Fifth ACM/IEEE International Symposium on Networks on Chip (NoCS'11). IEEE, 81--88. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Sébastien Le Beux, Jelena Trajkovic, Ian O'Connor, Gabriela Nicolescu, Guy Bois, and Pierre Paulin. 2011. Optical ring network-on-chip (ORNoC): Architecture and design methodology. In Design, Automation 8 Test in Europe Conference 8 Exhibition (DATE). IEEE, 1--6.Google ScholarGoogle Scholar
  10. Sébastien Le Beux, Hui Li, Ian O'Connor, Kazem Cheshmi, Xuchen Liu, Jelena Trajkovic, and Gabriela Nicolescu. 2014. Chameleon: Channel efficient optical network-on-chip. In Proceedings of the Conference on Design, Automation 8 Test in Europe. European Design and Automation Association, 304. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Ajay Joshi, Christopher Batten, Yong-Jin Kwon, Scott Beamer, Imran Shamim, Krste Asanovic, and Vladimir Stojanovic. 2009. Silicon-photonic clos networks for global on-chip communication. In Proceedings of the 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip. IEEE Computer Society, 124--133. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. I-Wei Hsieh, Xiaogang Chen, Jerry I. Dadap, Nicolae C. Panoiu, Richard M. Osgood, Sharee J. McNab, and Yurii A. Vlasov. 2006. Ultrafast-pulse self-phase modulation and third-order dispersion in Si photonic wire-waveguides. Optics Express 14, 25 (2006), 12380--12387.Google ScholarGoogle ScholarCross RefCross Ref
  13. Jin-Hyoung Lee, Jock Bovington, Ivan Shubin, Ying Luo, Jin Yao, Shiyun Lin, John E. Cunningham, Kannan Raj, Ashok V. Krishnamoorthy, and Xuezhe Zheng. 2015. Demonstration of 12.2% wall plug efficiency in uncooled single mode external-cavity tunable Si/III-V hybrid laser. Optics Express 23, 9 (2015), 12079--12088.Google ScholarGoogle ScholarCross RefCross Ref
  14. Hui Li, Alain Fourmigue, Sébastien Le Beux, Ian O'Connor, and Gabriela Nicolescu. 2016. Towards maximum energy efficiency in nanophotonic interconnects with thermal-aware on-chip laser tuning. IEEE Transactions on Emerging Topics in Computing PP 99 (2016), 1--1.Google ScholarGoogle Scholar
  15. Mikhail Haurylau, Guoqing Chen, Hui Chen, Jidong Zhang, Nicholas A. Nelson, David H. Albonesi, Eby G. Friedman, and Philippe M. Fauchet. 2006. On-chip optical interconnect roadmap: Challenges and critical directions. IEEE Journal of Selected Topics in Quantum Electronics 12, 6 (2006), 1699--1705.Google ScholarGoogle ScholarCross RefCross Ref
  16. Parisa Khadem Hamedani, Natalie Enright Jerger, and Shaahin Hessabi. 2014. Qut: A low-power optical network-on-chip. In Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS'14). IEEE, 80--87.Google ScholarGoogle ScholarCross RefCross Ref
  17. Matthew Kennedy and Avinash Karanth Kodi. 2017. CLAP-NET: Bandwidth adaptive optical crossbar architecture. Journal of Parallel and Distributed Computing 100 (2017), 130--139. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Johnnie Chan and Keren Bergman. 2012. Photonic interconnection network architectures using wavelength-selective spatial routing for chip-scale communications. Journal of Cognitive Neuroscience 4, 3 (2012), 189--201.Google ScholarGoogle Scholar
  19. Zheng Li, Moustafa Mohamed, Xi Chen, Hongyu Zhou, Alan Mickelson, Li Shang, and Manish Vachharajani. 2011. Iris: A hybrid nanophotonic network design for high-performance and low-power on-chip communication. ACM Journal on Emerging Technologies in Computing Systems (JETC'11) 7, 2 (2011), 8. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Michael Georgas, Jonathan Leu, Benjamin Moss, Chen Sun, and Vladimir Stojanović. 2011. Addressing link-level design tradeoffs for integrated photonic interconnects. In Custom Integrated Circuits Conference (CICC'11). IEEE, 1--8.Google ScholarGoogle ScholarCross RefCross Ref
  21. Chao Chen and Ajay Joshi. 2013. Runtime management of laser power in silicon-photonic multibus noc architecture. IEEE Journal of Selected Topics in Quantum Electronics 19, 2 (2013), 3700713--3700713.Google ScholarGoogle ScholarCross RefCross Ref
  22. Guoqing Chen, Hui Chen, Mikhail Haurylau, Nicholas A. Nelson, David H. Albonesi, Philippe M. Fauchet, and Eby G. Friedman. 2007. Predictions of CMOS compatible on-chip optical interconnect. Integration, The VLSI Journal 40, 4 (2007), 434--446. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. F. Y. Gardes, D. J. Thomson, N. G. Emerson, and G. T. Reed. 2011. 40 Gb/s silicon photonics modulator for TE and TM polarisations. Optics Express 19, 12 (2011), 11804--11814.Google ScholarGoogle ScholarCross RefCross Ref
  24. Mark J. Cianchetti, Joseph C. Kerekes, and David H. Albonesi. 2009. Phastlane: A rapid transit optical routing network. ACM SIGARCH Computer Architecture News 37, 3 (2009), 441--450. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. International Roadmap Committee. 2014. International technology roadmap for semiconductors. Retrieved from www.itrs.net.Google ScholarGoogle Scholar
  26. Tilera Corporation. 2007. Tilera multicore processors. Retrieved from http://www.tilera.com/products/processors.Google ScholarGoogle Scholar
  27. Zheng Li, Moustafa Mohamed, Xi Chen, Eric Dudley, Ke Meng, Li Shang, Alan R. Mickelson, et al. 2012. Reliability modeling and management of nanophotonic on-chip networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 20, 1 (2012), 98--111. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Zhongqi Li, Amer Qouneh, Madhura Joshi, Wangyuan Zhang, Xin Fu, and Tao Li. 2015. Aurora: A cross-layer solution for thermally resilient photonic network-on-chip. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23, 1 (2015), 170--183.Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Guang-Hua Duan, Alexandre Shen, Akram Akrout, Frederic Van Dijk, Francois Lelarge, Frederic Pommereau, Odile LeGouezigou, et al. 2009. High performance InP-based quantum dash semiconductor mode-locked lasers for optical communications. Bell Labs Technical Journal 14, 3 (2009), 63--84. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Fuad E. Doany, Benjamin G. Lee, Solomon Assefa, William MJ Green, Min Yang, Clint L. Schow, Christopher V. Jahnes, et al. 2011. Multichannel high-bandwidth coupling of ultradense silicon photonic waveguide array to standard-pitch fiber array. Journal of Lightwave Technology 29, 4 (2011), 475--482.Google ScholarGoogle ScholarCross RefCross Ref
  31. Yangyang Liu, Jeffrey M. Shainline, Xiaoge Zeng, and Miloš A. Popović. 2014. Ultra-low-loss CMOS-compatible waveguide crossing arrays based on multimode Bloch waves and imaginary coupling. Optics Letters 39, 2 (2014), 335--338.Google ScholarGoogle ScholarCross RefCross Ref
  32. D. Livshits, D. Yin, A. Gubenko, I. Krestnikov, S. Mikhrin, A. Kovsh, and G. Wojcik. 2010. Cost-effective WDM optical interconnects enabled by quantum dot comb lasers. In International Society for Optics and Photonics OPTO, 76070W--76070W.Google ScholarGoogle Scholar
  33. Yigit Demir and Nikos Hardavellas. 2015. Parka: Thermally insulated nanophotonic interconnects. In Proceedings of the 9th International Symposium on Networks-on-Chip. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Yigit Demir and Nikos Hardavellas. 2014. Ecolaser: an adaptive laser control for energy-efficient on-chip photonic interconnects. In Proceedings of the 2014 International Symposium on Low Power Electronics and Design. ACM, 3-8. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Luan HK Duong, Zhehui Wang, Mahdi Nikdast, Jiang Xu, Peng Yang, Zhifei Wang, Zhe Wang, et al. 2016. Coherent and incoherent crosstalk noise analyses in interchip/intrachip optical interconnection networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24, 7 (2016), 2475--2487.Google ScholarGoogle ScholarCross RefCross Ref
  36. Shaoqi Feng, Kuanping Shang, Jock T. Bovington, Rui Wu, Binbin Guan, Kwang-Ting Cheng, John E. Bowers, and SJ Ben Yoo. 2015. Athermal silicon ring resonators clad with titanium dioxide for 1.3 μm wavelength operation. Optics Express 23, 20 (2015), 25653--25660.Google ScholarGoogle ScholarCross RefCross Ref
  37. Long Chen, Christopher R. Doerr, Young-Kai Chen, and Tsung-Yang Liow. 2010. Low-Loss and Broadband Cantilever Couplers Between Standard Cleaved Fibers and High-Index-Contrast Si3 N4 or Si Waveguides. IEEE Photonics Technology Letters 22, 23 (2010), 1744--1746.Google ScholarGoogle ScholarCross RefCross Ref
  38. Chao Chen, José L. Abellán, and Ajay Joshi. 2015. Managing laser power in silicon-photonic NoC through cache and NoC reconfiguration. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 34, 6 (2015), 972--985.Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. Randy Morris, Avinash Karanth Kodi, and Ahmed Louri. 2012. 3d-noc: Reconfigurable 3d photonic on-chip interconnect for multicores. In IEEE 30th International Conference on Computer Design (ICCD'12). IEEE, 413--418. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. Randy Morris, Avinash Karanth Kodi, and Ahmed Louri. 2012. Dynamic reconfiguration of 3D photonic networks-on-chip for maximizing performance and improving fault tolerance. In Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 282--293. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. Biswajeet Guha, Jaime Cardenas, and Michal Lipson. 2013. Athermal silicon microring resonators with titanium oxide cladding. Optics Express 21, 22 (2013), 26557--26563.Google ScholarGoogle ScholarCross RefCross Ref
  42. Rong Cao, Kun Wang, Huaxi Gu, Bowen Zhang, and Xiaoshan Yu. 2016. A crosstalk-aware wavelength assignment method for optical network-on-chip. IEICE Electronics Express 13, 18 (2016), 20160821--20160821.Google ScholarGoogle ScholarCross RefCross Ref
  43. Rodolfo E. Camacho-Aguilera, Yan Cai, Neil Patel, Jonathan T. Bessette, Marco Romagnoli, Lionel C. Kimerling, and Jurgen Michel. 2012. An electrically pumped germanium laser. Optics Express 20, 10 (2012), 11316--11320.Google ScholarGoogle ScholarCross RefCross Ref
  44. R. Ho. 2006. Wire scaling and trends. Presentation at MTO DARPA meeting. Sun Microsystems Laboratories, Jackson Hole, WY.Google ScholarGoogle Scholar
  45. Anja Boos, Luca Ramini, Ulf Schlichtmann, and Davide Bertozzi. 2013. Proton: An automatic place-and-route tool for optical networks-on-chip. In Proceedings of the International Conference on Computer-Aided Design. IEEE Press, 138--145. Google ScholarGoogle ScholarDigital LibraryDigital Library
  46. James Jeffers and James Reinders. 2013. Intel Xeon Phi Coprocessor High-Performance Programming. Newnes. Google ScholarGoogle ScholarDigital LibraryDigital Library
  47. Brent Bohnenstiehl, Aaron Stillmaker, Jon Pimentel, Timothy Andreas, Bin Liu, Anh Tran, Emmanuel Adeagbo, and Bevan Baas. 2016. A 5.8 pJ/Op 115 billion ops/sec, to 1.78 trillion ops/sec 32nm 1000-processor array. In IEEE Symposium on VLSI Circuits (VLSI-Circuits'16). IEEE, 1--2.Google ScholarGoogle ScholarCross RefCross Ref
  48. Keren Bergman, Luca P. Carloni, Aleksandr Biberman, Johnnie Chan, and Gilbert Hendry. 2014. Photonic network-on-chip design. Springer New York.Google ScholarGoogle Scholar
  49. Scott Beamer, Chen Sun, Yong-Jin Kwon, Ajay Joshi, Christopher Batten, Vladimir Stojanović, and Krste Asanović. 2010. Re-architecting DRAM memory systems with monolithically integrated silicon photonics. In ACM SIGARCH Computer Architecture News. ACM, 38, 3 (2010), 129--140. Google ScholarGoogle ScholarDigital LibraryDigital Library
  50. Johnnie Chan, Gilbert Hendry, Aleksandr Biberman, and Keren Bergman. 2010. Architectural exploration of chip-scale photonic interconnection network designs using physical-layer analysis. Journal of Lightwave Technology 28, 9 (2010), 1305--1315.Google ScholarGoogle ScholarCross RefCross Ref
  51. Shirish Bahirat and Sudeep Pasricha. 2014. METEOR: Hybrid photonic ring-mesh network-on-chip for multicore architectures. ACM Transactions on Embedded Computing Systems (TECS) 13, 3s (2014), 116. Google ScholarGoogle ScholarDigital LibraryDigital Library
  52. Iñigo Artundo, Wim Heirman, Mikel Loperena, Christof Debaes, Jan Van Campenhout, and Hugo Thienpont. 2009. Low-power reconfigurable network architecture for on-chip photonic interconnects. In 17th IEEE Symposium on High Performance Interconnects (HOTI'09). IEEE, 163--169. Google ScholarGoogle ScholarDigital LibraryDigital Library
  53. Mark A. Anders. 2014. High-performance energy-efficient NoC fabrics: Evolution and future challenges. In Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS). IEEE.Google ScholarGoogle ScholarCross RefCross Ref
  54. Somayyeh Koohi and Shaahin Hessabi. 2012. Scalable architecture for a contention-free optical network on-chip. Journal of Parallel and Distributed Computing 72, 11 (2012), 1493--1506. Google ScholarGoogle ScholarDigital LibraryDigital Library
  55. Mark A. Anders. 2014. High-performance energy-efficient NoC fabrics: Evolution and future challenges. In Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS). IEEE, i--i.Google ScholarGoogle ScholarCross RefCross Ref
  56. George Kurian, Chen Sun, Chia-Hsin Owen Chen, Jason E. Miller, Jurgen Michel, Lan Wei, Dimitri A. Antoniadis, et al. 2012. Cross-layer energy and performance evaluation of a nanophotonic manycore processor system using real application workloads. In IEEE 26th International Parallel 8 Distributed Processing Symposium (IPDPS'12). IEEE, 1117--1130. Google ScholarGoogle ScholarDigital LibraryDigital Library
  57. Rajeev Balasubramonian, Jichuan Chang, Troy Manning, Jaime H. Moreno, Richard Murphy, Ravi Nair, and Steven Swanson. 2014. Near-data processing: Insights from a MICRO-46 workshop. IEEE Micro 34, 4 (2014), 36--42.Google ScholarGoogle ScholarCross RefCross Ref
  58. Luiz André Barroso and Urs Hölzle. 2007. The case for energy-proportional computing. Computer 40, 12. Google ScholarGoogle ScholarDigital LibraryDigital Library
  59. Anja Von Beuningen, Luca Ramini, Davide Bertozzi, and Ulf Schlichtmann. 2016. PROTON+: A Placement and Routing Tool for 3D Optical Networks-on-Chip with a Single Optical Layer. ACM Journal on Emerging Technologies in Computing Systems (JETC'16) 12, 4 (2016), 44. Google ScholarGoogle ScholarDigital LibraryDigital Library
  60. Christian Bienia, Sanjeev Kumar, Jaswinder Pal Singh, and Kai Li. 2008. The PARSEC benchmark suite: Characterization and architectural implications. In Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques. ACM, 72--81. Google ScholarGoogle ScholarDigital LibraryDigital Library
  61. Shekhar Borkar. 2013. Exascale computing--A fact or affliction. Keynote Presentation at International Parallel and Distributed Processing Symposium (IPDPS'13). Google ScholarGoogle ScholarDigital LibraryDigital Library
  62. Jae Hoon Lee and Tae Hee Han. 2017. Wavelength-based crosstalk-aware design for hybrid optical network-on-chip. Optical Engineering 56, 1 (2017), 016111--016111.Google ScholarGoogle ScholarCross RefCross Ref
  63. Cheng Li, Mark Browning, Paul V. Gratz, and Samuel Palermo. 2014. LumiNOC: A power-efficient, high-performance, photonic network-on-chip. IEEE Transactions on Computer Aided Design of Integrated Circuits 8 Systems 33, 6 (2014), 826--838.Google ScholarGoogle ScholarCross RefCross Ref
  64. Matthieu Briere, Bruno Girodias, Youcef Bouchebaba, Gabriela Nicolescu, Fabien Mieyeville, Frédéric Gaffiot, and Ian O'Connor. 2007. System level assessment of an optical NoC in an MPSoC platform. In Proceedings of the Conference on Design, Automation and Test in Europe. EDA Consortium, 1084--1089. Google ScholarGoogle ScholarDigital LibraryDigital Library
  65. Chao Chen, Tiansheng Zhang, Pietro Contu, Jonathan Klamkin, Ayse K. Coskun, and Ajay Joshi. 2014. Sharing and placement of on-chip laser sources in silicon-photonic NoCs. In Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS'14). IEEE, 88-95.Google ScholarGoogle ScholarCross RefCross Ref
  66. Ayse K. Coskun, Anjun Gu, Warren Jin, Ajay Joshi, Andrew B. Kahng, Jonathan Klamkin, Yenai Ma, John Recchio, Vaishnav Srinivas, and Tiansheng Zhang. 2016. Cross-layer floorplan optimization for silicon photonic NoCs in many-core systems. In Design, Automation 8 Test in Europe Conference 8 Exhibition (DATE'16). IEEE, 1309--1314. Google ScholarGoogle ScholarDigital LibraryDigital Library
  67. Yigit Demir, Yan Pan, Seukwoo Song, Nikos Hardavellas, John Kim, and Gokhan Memik. 2014. Galaxy: A high-performance energy-efficient multi-chip architecture using photonic interconnects. In Proceedings of the 28th ACM International Conference on Supercomputing. ACM, 303--312. Google ScholarGoogle ScholarDigital LibraryDigital Library
  68. Mahdi Nikdast, Jiang Xu, Xiaowen Wu, Wei Zhang, Yaoyao Ye, Xuan Wang, Zhehui Wang, and Zhe Wang. 2014. Systematic analysis of crosstalk noise in folded-torus-based optical networks-on-chip. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 33, 3 (2014), 437--450. Google ScholarGoogle ScholarDigital LibraryDigital Library
  69. Mahdi Nikdast, Jiang Xu, Luan Huu Kinh Duong, Xiaowen Wu, Xuan Wang, Zhehui Wang, Zhe Wang, Peng Yang, Yaoyao Ye, and Qinfen Hao. 2015. Crosstalk noise in WDM-based optical networks-on-chip: A formal study and comparison. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23, 11 (2015), 2552--2565.Google ScholarGoogle ScholarDigital LibraryDigital Library
  70. Yigit Demir and Nikos Hardavellas. 2015. Towards energy-efficient photonic interconnects. In International Society for Optics and Photonics SPIE OPTO, 93680T--93680T.Google ScholarGoogle Scholar
  71. Yigit Demir and Nikos Hardavellas. 2016. SLaC: Stage laser control for a flattened butterfly network. In IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE, 321--332.Google ScholarGoogle ScholarCross RefCross Ref
  72. Lumerical Solutions Inc. 2016a. Retrieved from https://www.lumerical.com/tcad-products/interconnect/.Google ScholarGoogle Scholar
  73. Lumerical Solutions Inc. 2016b. Retrieved from https://www.lumerical.com/solutions/partners/eda/mentorgraphics/. (2016).Google ScholarGoogle Scholar
  74. Kwai Hung Mo and others. 2010. A hierarchical hybrid optical-electronic network-on-chip. In ISVLSI’10. IEEE, 327--332. Google ScholarGoogle ScholarDigital LibraryDigital Library
  75. Moustafa Mohamed and others. 2014. Reliability-aware design flow for silicon photonics on-chip interconnect. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 22, 8 (2014), 1763--1776.Google ScholarGoogle ScholarCross RefCross Ref
  76. Randy Morris and Avinash Karanth Kodi. 2010. Exploring the design of 64-and 256-core power efficient nanophotonic interconnect. Journal of Selected Topics in Quantum Electronics 16, 5 (2010), 1386--1393.Google ScholarGoogle ScholarCross RefCross Ref
  77. Michael Georgas, B. R. Moss, Chen Sun, Jeffrey Shainline, J. S. Orcutt, M. Wade, Y.-H. Chen, et al. 2014. A monolithically-integrated optical transmitter and receiver in a zero-change 45nm SOI process. In Symposium on VLSI Circuits Digest of Technical Papers. IEEE, 1--2.Google ScholarGoogle ScholarCross RefCross Ref
  78. Huaxi Gu, Jiang Xu, and Wei Zhang. 2009. A low-power fat tree-based optical network-on-chip for multiprocessor system-on-chip. In Proceedings of the Conference on Design, Automation and Test in Europe. European Design and Automation Association, 3--8. Google ScholarGoogle ScholarDigital LibraryDigital Library
  79. Jifeng Liu, Xiaochen Sun, Rodolfo Camacho-Aguilera, Lionel C. Kimerling, and Jurgen Michel. 2010. Ge-on-Si laser operating at room temperature. Optics Letters 35, 5 (2010), 679--681.Google ScholarGoogle ScholarCross RefCross Ref
  80. Jiwei Liu, Jun Yang, and Rami Melhem. 2015. Gasolin: global arbitration for streams of data in optical links. In IEEE International Parallel and Distributed Processing Symposium (IPDPS'15). IEEE, 93--102. Google ScholarGoogle ScholarDigital LibraryDigital Library
  81. Mahdi Nikdast, Gabriela Nicolescu, Jelena Trajkovic, and Odile Liboiron-Ladouceur. 2016. An analytical study of process variations in silicon photonic integrated circuits. In Photonics North (PN'16). IEEE, 1--2.Google ScholarGoogle Scholar
  82. Nikdast, Mahdi, Gabriela Nicolescu, Jelena Trajkovic, and Odile Liboiron-Ladouceur. 2016. Modeling fabrication non-uniformity in chip-scale silicon photonic interconnects. In Proceedings of the 2016 Conference on Design, Automation 8 Test in Europe. EDA Consortium, 115--120. Google ScholarGoogle ScholarDigital LibraryDigital Library
  83. Mahdi Nikdast, Gabriela Nicolescu, Jelena Trajkovic, and Odile Liboiron-Ladouceur. 2016. Chip-scale silicon photonic interconnects: A formal study on fabrication non-uniformity. Journal of Lightwave Technology 34, 16 (2016), 3682--3695.Google ScholarGoogle ScholarCross RefCross Ref
  84. Christopher Nitta, Matthew Farrens, and Venkatesh Akella. 2011. Addressing system-level trimming issues in on-chip nanophotonic networks. In IEEE 17th International Symposium on High Performance Computer Architecture (HPCA'11). IEEE, 122--131. Google ScholarGoogle ScholarDigital LibraryDigital Library
  85. Christopher J. Nitta, Matthew K. Farrens, and Venkatesh Akella. 2011. Resilient microring resonator based photonic networks. In Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture. ACM, 95--104. Google ScholarGoogle ScholarDigital LibraryDigital Library
  86. Ian O’Connor and Gabriela Nicolescu. 2012. Integrated Optical Interconnect Architectures for Embedded Systems. Springer Science 8 Business Media. Google ScholarGoogle ScholarDigital LibraryDigital Library
  87. Marta Ortin, Luca Ramini, Marco Balboni, Lorenzo Zuolo, Nonato Maddalena, Victor Viñals, and Davide Bertozzi. 2015. Partitioning strategies of wavelength-routed optical networks-on-chip for laser power minimization. In 2015 Workshop on Exploiting Silicon Photonics for Energy-Efficient High Performance Computing (SiPhotonics). IEEE, 17--24. Google ScholarGoogle ScholarDigital LibraryDigital Library
  88. Marta Ortín-Obón, Luca Ramini, Víctor Viñals-Yúfera, and Davide Bertozzi. 2017. A tool for synthesizing power-efficient and custom-tailored wavelength-routed optical rings. In 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC). IEEE, 300--305.Google ScholarGoogle ScholarCross RefCross Ref
  89. Yan Pan, Prabhat Kumar, John Kim, Gokhan Memik, Yu Zhang, and Alok Choudhary. 2009. Firefly: Illuminating future network-on-chip with nanophotonics. In ACM SIGARCH Computer Architecture News. ACM, 37, 3 (2009), 429--440. Google ScholarGoogle ScholarDigital LibraryDigital Library
  90. Yan Pan, John Kim, and Gokhan Memik. 2010. Flexishare: Channel sharing for an energy-efficient nanophotonic crossbar. In IEEE 16th International Symposium on High Performance Computer Architecture (HPCA'10). IEEE, 1--12.Google ScholarGoogle ScholarCross RefCross Ref
  91. Yan Pan, John Kim, and Gokhan Memik. 2011. FeatherWeight: low-cost optical arbitration with QoS support. In 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'11) IEEE, 105--116. Google ScholarGoogle ScholarDigital LibraryDigital Library
  92. Sudeep Pasricha and Nikil Dutt. 2008. ORB: An on-chip optical ring bus communication architecture for multi-processor systems-on-chip. In Proceedings of the 2008 Asia and South Pacific Design Automation Conference. IEEE Computer Society Press, 789--794. Google ScholarGoogle ScholarDigital LibraryDigital Library
  93. Lorenzo Pavesi and David J. Lockwood. 2016. Silicon Photonics III: Systems and Applications. Vol. 122. Springer Science 8 Business Media.Google ScholarGoogle Scholar
  94. Andrea Peano, Luca Ramini, Marco Gavanelli, Maddalena Nonato, and Davide Bertozzi. 2016. Design technology for fault-free and maximally-parallel wavelength-routed optical networks-on-chip. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD'16). IEEE, 1--8. Google ScholarGoogle ScholarDigital LibraryDigital Library
  95. Eldhose Peter, Arun Thomas, Anuj Dhawan, and Smruti R. Sarangi. 2015. ColdBus: A near-optimal power efficient optical bus. In IEEE 22nd International Conference on High Performance Computing (HiPC'15). IEEE, 275--284. Google ScholarGoogle ScholarDigital LibraryDigital Library
  96. Eldhose Peter, Arun Thomas, Anuj Dhawan, and Smruti R. Sarangi. 2016. Active microring based tunable optical power splitters. Optics Communications 359 (2016), 311--315.Google ScholarGoogle ScholarCross RefCross Ref
  97. PhoeniX Software. 2016. Retrieved from http://www.phoenixbv.com.Google ScholarGoogle Scholar
  98. Luca Ramini, Paolo Grani, Sandro Bartolini, and Davide Bertozzi. 2013. Contrasting wavelength-routed optical NoC topologies for power-efficient 3D-stacked multicore processors using physical-layer analysis. In Proceedings of the Conference on Design, Automation and Test in Europe. EDA Consortium, 1589--1594. Google ScholarGoogle ScholarDigital LibraryDigital Library
  99. Luca Ramini, Davide Bertozzi, and Luca P. Carloni. 2012. Engineering a bandwidth-scalable optical layer for a 3d multi-core processor with awareness of layout constraints. In Sixth IEEE/ACM International Symposium on Networks on Chip (NoCS'12). IEEE, 185--192. Google ScholarGoogle ScholarDigital LibraryDigital Library
  100. Cezar RW Reinbrecht, Martha Johanna, and Altamiro Amadeu Susin. PHiCIT: Improving Hierarchical Networks-on-chip through 3D Silicon Photonics Integration. In Proceedings of the 28th Symposium on Integrated Circuits and Systems Design. ACM, 28. Google ScholarGoogle ScholarDigital LibraryDigital Library
  101. Assaf Shacham, Keren Bergman, and Luca P. Carloni. 2008. Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Transactions on Computers 57, 9 (2008), 1246--1260. Google ScholarGoogle ScholarDigital LibraryDigital Library
  102. Chen Sun. 2015. Silicon-Photonics for VLSI Systems. Ph.D. Dissertation. Massachusetts Institute of Technology.Google ScholarGoogle Scholar
  103. Chen Sun, Chia-Hsin Owen Chen, George Kurian, Lan Wei, Jason Miller, Anant Agarwal, Li-Shiuan Peh, and Vladimir Stojanovic. 2012. DSENT-a tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling. In Sixth IEEE/ACM International Symposium on Networks on Chip (NoCS'12). IEEE, 201--210. Google ScholarGoogle ScholarDigital LibraryDigital Library
  104. Mahdi Tala, Marco Castellari, Marco Balboni, and Davide Bertozzi. 2016. Populating and exploring the design space of wavelength-routed optical network-on-chip topologies by leveraging the add-drop filtering primitive. In Tenth IEEE/ACM International Symposium on Networks-on-Chip (NOCS'16). IEEE, 1--8.Google ScholarGoogle ScholarCross RefCross Ref
  105. Ishan G. Thakkar, Sai Vineel Reddy Chittamuru, and Sudeep Pasricha. 2016. Run-time laser power management in photonic NoCs with on-chip semiconductor optical amplifiers. In Tenth IEEE/ACM International Symposium on Networks-on-Chip (NOCS'16). IEEE, 1--4.Google ScholarGoogle ScholarCross RefCross Ref
  106. Anouk Van Laer, Chamath Ellawala, Muhammad Ridwan Madarbux, Philip M. Watts, and Timothy M. Jones. 2015. Coherence based message prediction for optically interconnected chip multiprocessors. In Proceedings of the 2015 Design, Automation 8 Test in Europe Conference 8 Exhibition. EDA Consortium, 613--616. Google ScholarGoogle ScholarDigital LibraryDigital Library
  107. Sriram Vangal, Jason Howard, Gregory Ruhl, Saurabh Dighe, Howard Wilson, James Tschanz, David Finan, et al. 2007. An 80-tile 1.28 TFLOPS network-on-chip in 65nm CMOS. In IEEE International Solid-State Circuits Conference (ISSCC'07). Digest of Technical Papers. IEEE, 98--589.Google ScholarGoogle Scholar
  108. Dana Vantrease, Robert Schreiber, Matteo Monchiero, Moray McLaren, Norman P. Jouppi, Marco Fiorentino, Al Davis, Nathan Binkert, Raymond G. Beausoleil, and Jung Ho Ahn. 2008. Corona: System implications of emerging nanophotonic technology. In ACM SIGARCH Computer Architecture News. IEEE Computer Society, 36, 3, 153--164. Google ScholarGoogle ScholarDigital LibraryDigital Library
  109. Dana Vantrease, Nathan Binkert, Robert Schreiber, and Mikko H. Lipasti. 2009. Light speed arbitration and flow control for nanophotonic interconnects. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture. ACM, 304--315. Google ScholarGoogle ScholarDigital LibraryDigital Library
  110. VPIphotonics. 2016. Retrieved from http://www.vpiphotonics.com/Tools/.Google ScholarGoogle Scholar
  111. Yue Wang, Huaxi Gu, Kang Wang, Yintang Yang, and Kun Wang. 2016. Low-power low-latency optical network architecture for memory access communication. Journal of Optical Communications and Networking 8, 10 (2016), 757--764.Google ScholarGoogle ScholarCross RefCross Ref
  112. Sebastian Werner, Javier Navaridas, and Mikel Luján. 2015. Amon: An advanced mesh-like optical NoC. In IEEE 23rd Annual Symposium on High-Performance Interconnects (HOTI'15). IEEE, 52--59. Google ScholarGoogle ScholarDigital LibraryDigital Library
  113. Sebastian Werner, Javier Navaridas, and Mikel Luján. 2017. Designing Low-Power, Low-Latency Networks-on-Chip by Optimally Combining Electrical and Optical Links. In IEEE International Symposium on High Performance Computer Architecture (HPCA'17). IEEE, 265--276.Google ScholarGoogle ScholarCross RefCross Ref
  114. Sebastian Werner, Javier Navaridas, and Mikel Luján. 2017. Efficient sharing of optical resources in low-power optical networks-on-chip. Journal of Optical Communications and Networking 9, 5 (2017), 364--374.Google ScholarGoogle ScholarCross RefCross Ref
  115. Philip Wolf, Philip Moser, Gunter Larisch, Werner Hofmann, Hui Li, James A. Lott, Chien-Yao Lu, Shun L. Chuang, and Dieter Bimberg. 2013. Energy-efficient and temperature-stable high-speed VCSELs for optical interconnects. In 15th International Conference on Transparent Optical Networks (ICTON'13). IEEE, 1--5.Google ScholarGoogle ScholarCross RefCross Ref
  116. Xiaowen Wu, Jiang Xu, Yaoyao Ye, Zhehui Wang, Mahdi Nikdast, and Xuan Wang. 2014. Suor: Sectioned undirectional optical ring for chip multiprocessor. ACM Journal on Emerging Technologies in Computing Systems (JETC) 10, 4 (2014), 29. Google ScholarGoogle ScholarDigital LibraryDigital Library
  117. Chunhua Xiao, Frank Chang, Jason Cong, Michael Gill, Zhangqin Huang, Chunyue Liu, Glenn Reinman, and Hao Wu. 2013. Stream arbitration: Towards efficient bandwidth utilization for emerging on-chip interconnects. ACM Transactions on Architecture and Code Optimization (TACO'13) 9, 4 (2013), 60. Google ScholarGoogle ScholarDigital LibraryDigital Library
  118. Yi Xu, Jun Yang, and Rami Melhem. 2012. Channel borrowing: an energy-efficient nanophotonic crossbar architecture with light-weight arbitration. In Proceedings of the 26th ACM International Conference on Supercomputing. ACM, 133--142. Google ScholarGoogle ScholarDigital LibraryDigital Library
  119. Yaoyao Ye, Jiang Xu, Baihan Huang, Xiaowen Wu, Wei Zhang, Xuan Wang, Mahdi Nikdast, Zhehui Wang, Weichen Liu, and Zhe Wang. 2013. 3-D mesh-based optical network-on-chip for multiprocessor system-on-chip. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 32, 4 (2013), 584--596. Google ScholarGoogle ScholarDigital LibraryDigital Library
  120. Tiansheng Zhang, José L. Abellán, Ajay Joshi, and Ayse K. Coskun. 2014. Thermal management of manycore systems with silicon-photonic networks. In Design, Automation and Test in Europe Conference and Exhibition (DATE'14). IEEE, 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  121. Xiang Zhang and Ahmed Louri. 2010. A multilayer nanophotonic interconnection network for on-chip many-core communications. In Proceedings of the 47th Design Automation Conference. ACM, 156--161. Google ScholarGoogle ScholarDigital LibraryDigital Library
  122. Xuezhe Zheng, Ying Luo, Jon Lexau, Frankie Liu, Guoliang Li, Hiren D. Thacker, Ivan Shubin, et al. 2012. 2-pJ/bit (on-chip) 10-Gb/s digital CMOS silicon photonic link. IEEE Photonics Technology Letters 24, 14 (2012), 1260--1262.Google ScholarGoogle ScholarCross RefCross Ref
  123. Li Zhou and Avinash Karanth Kodi. 2013. Probe: Prediction-based optical bandwidth scaling for energy-efficient nocs. In Seventh IEEE/ACM International Symposium on Networks on Chip (NoCS'13). IEEE, 1--8.Google ScholarGoogle ScholarCross RefCross Ref
  124. Arslan Zulfiqar, Pranay Koka, Herb Schwetman, Mikko Lipasti, Xuezhe Zheng, and Ashok Krishnamoorthy. 2013. Wavelength stealing: an opportunistic approach to channel sharing in multi-chip photonic interconnects. In Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture. ACM, 222--233. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. A Survey on Optical Network-on-Chip Architectures

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM Computing Surveys
        ACM Computing Surveys  Volume 50, Issue 6
        November 2018
        752 pages
        ISSN:0360-0300
        EISSN:1557-7341
        DOI:10.1145/3161158
        • Editor:
        • Sartaj Sahni
        Issue’s Table of Contents

        Copyright © 2017 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 6 December 2017
        • Accepted: 1 August 2017
        • Revised: 1 May 2017
        • Received: 1 November 2016
        Published in csur Volume 50, Issue 6

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • survey
        • Research
        • Refereed

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader