Skip to main content

2022 | OriginalPaper | Buchkapitel

Low-Power SRAM Memory Architecture for IoT Systems

verfasst von : Reeya Agrawal

Erschienen in: Recent Advances in Manufacturing, Automation, Design and Energy Technologies

Verlag: Springer Singapore

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

A quantitative and yield analysis of single-bit cache memory architecture with different types of sense amplifiers such as voltage-mode differential sense amplifier (VMDSA), has been implemented and compared on different values of resistance (R). Results depicted that the single-bit cache memory architecture having voltage-mode differential sense amplifier consumes the lowest power (11.16 µW). This SRAM is specifically suitable for Internet-of-Things (IoT) applications with slow access rates and low power consumption.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Eslami, N., Ebrahimi, B., Shakouri, E., et al.: A single-ended low leakage and low voltage 10T SRAM cell with high yield. Analog Integr. Circ. Sig. Process (2020) Eslami, N., Ebrahimi, B., Shakouri, E., et al.: A single-ended low leakage and low voltage 10T SRAM cell with high yield. Analog Integr. Circ. Sig. Process (2020)
2.
Zurück zum Zitat Bazzi, H., Harb, A., Aziza, H., et al.: RRAM-based non-volatile SRAM cell architectures for ultra-low-power applications. Analog Integr. Circ. Sig. Process (2020) Bazzi, H., Harb, A., Aziza, H., et al.: RRAM-based non-volatile SRAM cell architectures for ultra-low-power applications. Analog Integr. Circ. Sig. Process (2020)
3.
Zurück zum Zitat Pal, S., Bose, S., Islam, A.: Design of SRAM cell for low power portable healthcare applications. Microsyst. Technol. (2020) Pal, S., Bose, S., Islam, A.: Design of SRAM cell for low power portable healthcare applications. Microsyst. Technol. (2020)
4.
Zurück zum Zitat Shah, A.P., Vishvakarma, S.K., Hübner, M.: Soft error hardened asymmetric 10T SRAM cell for aerospace applications. J. Electron. Test 36, 255–269 (2020)CrossRef Shah, A.P., Vishvakarma, S.K., Hübner, M.: Soft error hardened asymmetric 10T SRAM cell for aerospace applications. J. Electron. Test 36, 255–269 (2020)CrossRef
5.
Zurück zum Zitat Singh, S., Mishra, V.: Enhanced static noise margin and increased stability SRAM cell with emerging device memristor at 45-nm technology. Radioelectron. Commun. Syst. 61, 200–206 (2018)CrossRef Singh, S., Mishra, V.: Enhanced static noise margin and increased stability SRAM cell with emerging device memristor at 45-nm technology. Radioelectron. Commun. Syst. 61, 200–206 (2018)CrossRef
6.
Zurück zum Zitat Wang, W., Guin, U., Singh, A.: Aging-resilient SRAM-based true random number generator for lightweight devices. J. Electron. Test 36, 301–311 (2020)CrossRef Wang, W., Guin, U., Singh, A.: Aging-resilient SRAM-based true random number generator for lightweight devices. J. Electron. Test 36, 301–311 (2020)CrossRef
7.
Zurück zum Zitat He, Y., Zhang, J., Wu, X., Si, X., Zhen, S., Zhang, B.: A half-select disturb-free 11T SRAM cell with built-in write/read-assist scheme for ultralow-voltage operations. In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 27, no. 10, pp. 2344–2353 (2019) He, Y., Zhang, J., Wu, X., Si, X., Zhen, S., Zhang, B.: A half-select disturb-free 11T SRAM cell with built-in write/read-assist scheme for ultralow-voltage operations. In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 27, no. 10, pp. 2344–2353 (2019)
8.
Zurück zum Zitat Fragasse, R., et al.: Analysis of SRAM enhancements through sense amplifier capacitive offset correction and replica self-timing. IEEE Trans. Circ. Syst. I Regul. Pap. 66(6), 2037–2050 (2019)CrossRef Fragasse, R., et al.: Analysis of SRAM enhancements through sense amplifier capacitive offset correction and replica self-timing. IEEE Trans. Circ. Syst. I Regul. Pap. 66(6), 2037–2050 (2019)CrossRef
9.
Zurück zum Zitat Gupta, S., Gupta, K., Calhoun, B.H., Pandey, N.: Low-power near-threshold 10T SRAM bit cells with enhanced data-independent read port leakage for array augmentation in 32-nm CMOS. IEEE Trans. Circ. Syst. I Regul. Pap. 66(3), 978–988 (2019)CrossRef Gupta, S., Gupta, K., Calhoun, B.H., Pandey, N.: Low-power near-threshold 10T SRAM bit cells with enhanced data-independent read port leakage for array augmentation in 32-nm CMOS. IEEE Trans. Circ. Syst. I Regul. Pap. 66(3), 978–988 (2019)CrossRef
10.
Zurück zum Zitat Dounavi, H., Sfikas, Y., Tsiatouhas, Y.: Periodic aging monitoring in SRAM sense amplifiers. In: 2018 IEEE 24th International Symposium on On-Line Testing And Robust System Design (IOLTS), Platja d’Aro, pp. 12–16 (2018) Dounavi, H., Sfikas, Y., Tsiatouhas, Y.: Periodic aging monitoring in SRAM sense amplifiers. In: 2018 IEEE 24th International Symposium on On-Line Testing And Robust System Design (IOLTS), Platja d’Aro, pp. 12–16 (2018)
11.
Zurück zum Zitat Pathak, A., Sachan, D., Peta, H., Goswami, M.: A modified SRAM based low power memory design. In: 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID), Kolkata, pp. 122–127 (2018) Pathak, A., Sachan, D., Peta, H., Goswami, M.: A modified SRAM based low power memory design. In: 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID), Kolkata, pp. 122–127 (2018)
12.
Zurück zum Zitat Kaushik, C.S.H., Vanjarlapati, R.R., Krishna, V.M., Gautam, T., Elamaran, V.: VLSI design of low power SRAM architectures for FPGAs. In: 2014 International Conference on Green Computing Communication and Electrical Engineering (ICGCCEE), pp. 1–4 (2014) Kaushik, C.S.H., Vanjarlapati, R.R., Krishna, V.M., Gautam, T., Elamaran, V.: VLSI design of low power SRAM architectures for FPGAs. In: 2014 International Conference on Green Computing Communication and Electrical Engineering (ICGCCEE), pp. 1–4 (2014)
13.
Zurück zum Zitat Choudhary, R., Padhy, S., Rout, N.K.: Enhanced robust architecture of single bit SRAM cell using Drowsy cache and super cut-off CMOS concept. Int. J. Ind. Electron. Electr. Eng. 3, pp. 63–68 (2011) Choudhary, R., Padhy, S., Rout, N.K.: Enhanced robust architecture of single bit SRAM cell using Drowsy cache and super cut-off CMOS concept. Int. J. Ind. Electron. Electr. Eng. 3, pp. 63–68 (2011)
14.
Zurück zum Zitat Gajjar, J.P., Zala, A.S., Aggarwal, S.K.: Design and analysis of 32 bit SRAM architecture in 90 nm CMOS technology, vol. 03(04), pp. 2729–2733 (2016) Gajjar, J.P., Zala, A.S., Aggarwal, S.K.: Design and analysis of 32 bit SRAM architecture in 90 nm CMOS technology, vol. 03(04), pp. 2729–2733 (2016)
15.
Zurück zum Zitat Agrawal, R., Tomar, V.K.: Analysis of cache (SRAM) memory for core I ™ 7 processor. In: 9th International Conference on Computing, Communication and Networking Technologies (ICCCNT) (2018) Agrawal, R., Tomar, V.K.: Analysis of cache (SRAM) memory for core I ™ 7 processor. In: 9th International Conference on Computing, Communication and Networking Technologies (ICCCNT) (2018)
16.
Zurück zum Zitat Vanama, K., Gunnuthula, R., Prasad, G.: Design of low power stable SRAM cell. In: 2014 International Conference on Circuit Power and Computing Technologies (ICCPCT), pp. 1263–1267 (2014) Vanama, K., Gunnuthula, R., Prasad, G.: Design of low power stable SRAM cell. In: 2014 International Conference on Circuit Power and Computing Technologies (ICCPCT), pp. 1263–1267 (2014)
17.
Zurück zum Zitat Chandankhede, R.D., Acharya, D.P., Patra, P.K.: Design of high-speed sense amplifier for SRAM. In: IEEE International Conference on Advanced Communication Control and Computing Technologies, pp. 340–343 (2012) Chandankhede, R.D., Acharya, D.P., Patra, P.K.: Design of high-speed sense amplifier for SRAM. In: IEEE International Conference on Advanced Communication Control and Computing Technologies, pp. 340–343 (2012)
18.
Zurück zum Zitat Tao, Y.-p., Hu, W.-p.: Design of sense amplifier in the high-speed SRAM. In: International Conference on Cyber-Enabled Distributed Computing and Knowledge Discovery, pp. 384–387 (2015) Tao, Y.-p., Hu, W.-p.: Design of sense amplifier in the high-speed SRAM. In: International Conference on Cyber-Enabled Distributed Computing and Knowledge Discovery, pp. 384–387 (2015)
20.
Zurück zum Zitat Sinha, M., Hsu, S., Alvandpour, A., Burleson, W., Krishnamurthy, R., Borhr, S.: High-performance and low-voltage sense-amplifier techniques for sub-90 nm SRAM. In: IEEE International SOC Conference, 2003 [Systems-on-Chip] (2012) Sinha, M., Hsu, S., Alvandpour, A., Burleson, W., Krishnamurthy, R., Borhr, S.: High-performance and low-voltage sense-amplifier techniques for sub-90 nm SRAM. In: IEEE International SOC Conference, 2003 [Systems-on-Chip] (2012)
Metadaten
Titel
Low-Power SRAM Memory Architecture for IoT Systems
verfasst von
Reeya Agrawal
Copyright-Jahr
2022
Verlag
Springer Singapore
DOI
https://doi.org/10.1007/978-981-16-4222-7_57

    Marktübersichten

    Die im Laufe eines Jahres in der „adhäsion“ veröffentlichten Marktübersichten helfen Anwendern verschiedenster Branchen, sich einen gezielten Überblick über Lieferantenangebote zu verschaffen.