Skip to main content

2017 | OriginalPaper | Buchkapitel

3. Materials and Processing of TSV

verfasst von : Praveen Kumar, Indranath Dutta, Zhiheng Huang, Paul Conway

Erschienen in: 3D Microelectronic Packaging

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

This chapter introduces the critical steps involved in fabricating through-silicon vias (TSVs) and associated materials. The fabrication steps for TSVs begin with etching of high aspect ratio trenches in Si, followed by placement of dielectric, barrier and seed layers, TSV filling and polishing, and then assembly with other components of a device. In addition, planarization, die-thinning and flow processes to fabricate TSV-enabled 3-D architectured microelectronic package are described. Challenges associated with processing of TSVs as well as methods for overcoming them are highlighted and discussed.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Fußnoten
1
Layout efficiency is understood as the number of conductors per unit area.
 
2
KOZ is the region where functional properties of Si are significantly affected by the stress field of the TSV.
 
Literatur
1.
Zurück zum Zitat E. Beyne, Through-silicon via technology for 3D IC, in: Ultra-Thin Chip Technology and Applications, ed. by J.N. Burghartz (Springer, New York, 2011) E. Beyne, Through-silicon via technology for 3D IC, in: Ultra-Thin Chip Technology and Applications, ed. by J.N. Burghartz (Springer, New York, 2011)
2.
Zurück zum Zitat M. Stucchi, G. Katti, D. Velenis, TSV characterization and modeling, in: Three Dimensional System Integration: IC Stacking Process and Design, ed. by A. Papanikolaou, D. Soudris, R. Radojcic (Springer, New York, 2011) M. Stucchi, G. Katti, D. Velenis, TSV characterization and modeling, in: Three Dimensional System Integration: IC Stacking Process and Design, ed. by A. Papanikolaou, D. Soudris, R. Radojcic (Springer, New York, 2011)
3.
Zurück zum Zitat P.S. Andry, C.K. Tsang, B.C. Webb, E.J. Sprogis, S.L. Wright, B. Dang, D.G. Manzer, Fabrication and characterization of robust through-silicon vias for silicon-carrier applications. IBM J. Res. Dev. 52, 571–581(2008)CrossRef P.S. Andry, C.K. Tsang, B.C. Webb, E.J. Sprogis, S.L. Wright, B. Dang, D.G. Manzer, Fabrication and characterization of robust through-silicon vias for silicon-carrier applications. IBM J. Res. Dev. 52, 571–581(2008)CrossRef
4.
Zurück zum Zitat Z. Xu, J.Q. Lu, High-speed design and broadband modeling of through-strata-vias (TSVs) in 3D integration. IEEE Trans. Compon. Pack. Manuf. Technol. 1, 154–162 (2011)CrossRef Z. Xu, J.Q. Lu, High-speed design and broadband modeling of through-strata-vias (TSVs) in 3D integration. IEEE Trans. Compon. Pack. Manuf. Technol. 1, 154–162 (2011)CrossRef
5.
Zurück zum Zitat J.Q. Lu, Advances in materials and processes for 3D-TSV integration. ECS Trans. 45, 119–129 (2012)CrossRef J.Q. Lu, Advances in materials and processes for 3D-TSV integration. ECS Trans. 45, 119–129 (2012)CrossRef
6.
Zurück zum Zitat A. Tsukada, R. Sato, S. Sekine, R. Kimura, K. Kishi, Y. Sato, Y. Iwata, H. Murata, Study on TSV with new filling method and alloy for advanced 3D-SiP, in: ECTC: Electronic Components and Technology Conference, 31 May–3 June 2011, Lake Buena Vista, FL, New York, USA (IEEE, 2011), p. 1981 A. Tsukada, R. Sato, S. Sekine, R. Kimura, K. Kishi, Y. Sato, Y. Iwata, H. Murata, Study on TSV with new filling method and alloy for advanced 3D-SiP, in: ECTC: Electronic Components and Technology Conference, 31 May–3 June 2011, Lake Buena Vista, FL, New York, USA (IEEE, 2011), p. 1981
7.
Zurück zum Zitat R. Sato, A.Tsukada, Y. Sato, Y. Iwata, H. Murata, S. Sekine, R. Kimura, K. Kishi, Study on high performance and productivity of TSV’s with new filling method and alloy for advanced 3D-SiP, in: 3DIC: International 3D Systems Integration Conference, 31 Jan–2 Feb 2012, Osaka, New York, USA (IEEE, 2012), p. 1 R. Sato, A.Tsukada, Y. Sato, Y. Iwata, H. Murata, S. Sekine, R. Kimura, K. Kishi, Study on high performance and productivity of TSV’s with new filling method and alloy for advanced 3D-SiP, in: 3DIC: International 3D Systems Integration Conference, 31 Jan–2 Feb 2012, Osaka, New York, USA (IEEE, 2012), p. 1
8.
Zurück zum Zitat A. Horibe, K. Sueoka, T. Aoki, K. Toriyama, K. Okamoto, S. Kohara, H. Mori, Y. Orii, Through silicon via process for effective multi-wafer integration, in: ECTC: Electronic Components and Technology Conference, 26–29 May 2015, San Diego, CA (IEEE, 2015), p. 1808 A. Horibe, K. Sueoka, T. Aoki, K. Toriyama, K. Okamoto, S. Kohara, H. Mori, Y. Orii, Through silicon via process for effective multi-wafer integration, in: ECTC: Electronic Components and Technology Conference, 26–29 May 2015, San Diego, CA (IEEE, 2015), p. 1808
9.
Zurück zum Zitat M. Bouchoucha, L.L. Chapelon, P. Chausse, S. Moreau, N. Sillon, Through silicon via polymer filling for 3D-WLP applications, in: ESTC: Electronic System-Integration Technology Conference, 13–16 Sept 2010, Berlin (IEEE, 2010), p. 1 M. Bouchoucha, L.L. Chapelon, P. Chausse, S. Moreau, N. Sillon, Through silicon via polymer filling for 3D-WLP applications, in: ESTC: Electronic System-Integration Technology Conference, 13–16 Sept 2010, Berlin (IEEE, 2010), p. 1
11.
Zurück zum Zitat L. Zhu, D.W. Hess, C.P. Wong, Carbon nanotube electrical and thermal properties and applications for interconnects, in: Integrated Interconnect Technologies for 3D Nanoelectronic Systems, ed. by M.S. Bakir, J.D. Meindl (Artech House, Norwood, MA, 2009) L. Zhu, D.W. Hess, C.P. Wong, Carbon nanotube electrical and thermal properties and applications for interconnects, in: Integrated Interconnect Technologies for 3D Nanoelectronic Systems, ed. by M.S. Bakir, J.D. Meindl (Artech House, Norwood, MA, 2009)
12.
Zurück zum Zitat D. Jiang, W. Mu, S. Chen, Y. Fu, K. Jeppson, J. Liu, Vertically stacked carbon nanotube-based interconnects for through silicon via application. IEEE Electron Dev. Lett. 36, 499–501 (2015)CrossRef D. Jiang, W. Mu, S. Chen, Y. Fu, K. Jeppson, J. Liu, Vertically stacked carbon nanotube-based interconnects for through silicon via application. IEEE Electron Dev. Lett. 36, 499–501 (2015)CrossRef
13.
Zurück zum Zitat J.U. Knickerbocker, P.S. Andry, B. Dang, et al., Three dimensional silicon integration. IBM J. Res. Dev. 52, 553–569 (2008)CrossRef J.U. Knickerbocker, P.S. Andry, B. Dang, et al., Three dimensional silicon integration. IBM J. Res. Dev. 52, 553–569 (2008)CrossRef
14.
Zurück zum Zitat J.Q. Lu, 3-D hyperintegration and packaging technologies for micro-nano systems. Proc. IEEE 97, 18–30 (2009)CrossRef J.Q. Lu, 3-D hyperintegration and packaging technologies for micro-nano systems. Proc. IEEE 97, 18–30 (2009)CrossRef
15.
Zurück zum Zitat M. Koyanagi, T. Fkushima, T. Tanaka, High-density through silicon vias for 3-D LSIs. Proc. IEEE 97, 49–59 (2009)CrossRef M. Koyanagi, T. Fkushima, T. Tanaka, High-density through silicon vias for 3-D LSIs. Proc. IEEE 97, 49–59 (2009)CrossRef
16.
Zurück zum Zitat S Spiesshoefer, Z. Rahman, G. Vangara, S. Polamreddy, S. Burkett, L. Schaper, Process integration for through-silicon vias. J. Vac. Sci. Technol. A 23, 824–829 (2005) S Spiesshoefer, Z. Rahman, G. Vangara, S. Polamreddy, S. Burkett, L. Schaper, Process integration for through-silicon vias. J. Vac. Sci. Technol. A 23, 824–829 (2005)
17.
Zurück zum Zitat R. Nagarajan, K. Prasad, L. Ebin, B. Narayanan, Development of dual-etch via tapering process for through-silicon interconnection. Sens. Actuators A 139, 323–329 (2007)CrossRef R. Nagarajan, K. Prasad, L. Ebin, B. Narayanan, Development of dual-etch via tapering process for through-silicon interconnection. Sens. Actuators A 139, 323–329 (2007)CrossRef
18.
Zurück zum Zitat R. Li, Y. Lamy, W.F.A. Besling, F. Roozeboom, P.M. Sarro, Continuous deep reactive ion etching of tapered via holes for three-dimensional integration. J. Micromech. Microeng. 18, 125023 (2008)CrossRef R. Li, Y. Lamy, W.F.A. Besling, F. Roozeboom, P.M. Sarro, Continuous deep reactive ion etching of tapered via holes for three-dimensional integration. J. Micromech. Microeng. 18, 125023 (2008)CrossRef
19.
Zurück zum Zitat C. Okoro, K. Vanstreels, R. Labie, O. Luhn, B. Vandevelde, B. Verlinden, D. Vandepitte, Influence of annealing conditions on the mechanical and microstructural behavior of electroplated Cu-TSV. J. Micromech. Microeng. 20, 045032 (2010)CrossRef C. Okoro, K. Vanstreels, R. Labie, O. Luhn, B. Vandevelde, B. Verlinden, D. Vandepitte, Influence of annealing conditions on the mechanical and microstructural behavior of electroplated Cu-TSV. J. Micromech. Microeng. 20, 045032 (2010)CrossRef
20.
Zurück zum Zitat N. Ranganathan, L. Ebin, L. Linn, L.W.S. Vincent, O.K. Navas, V. Kripesh, N. Balasubramanian, Integration of high aspect ratio tapered silicon via for silicon carrier fabrication. IEEE Trans. Adv. Pack. 32, 62–71 (2009)CrossRef N. Ranganathan, L. Ebin, L. Linn, L.W.S. Vincent, O.K. Navas, V. Kripesh, N. Balasubramanian, Integration of high aspect ratio tapered silicon via for silicon carrier fabrication. IEEE Trans. Adv. Pack. 32, 62–71 (2009)CrossRef
21.
Zurück zum Zitat B. Wu, A. Kumar, S. Pamarthy, High aspect ratio silicon etch: a review. J. Appl. Phys. 108, 051101 (2010)CrossRef B. Wu, A. Kumar, S. Pamarthy, High aspect ratio silicon etch: a review. J. Appl. Phys. 108, 051101 (2010)CrossRef
22.
Zurück zum Zitat D. Gerke, NASA 2009 body of knowledge (BoK): through-silicon via technology. JPL Publication 09-28, Jet Propulsion Laboratory, Pasadena, CA (2009) D. Gerke, NASA 2009 body of knowledge (BoK): through-silicon via technology. JPL Publication 09-28, Jet Propulsion Laboratory, Pasadena, CA (2009)
23.
Zurück zum Zitat R. Landgraf, R. Rieske, A.N. Danilewsky, K.J. Wolter, Laser drilled through silicon vias: crystal defect analysis by synchrotron X-ray topography, in: ESTC: 2nd Electronics System-Integration Technology Conference, Greenwich, New York, USA 1–4 Sept 2008 (IEEE, 2008), p. 1023 R. Landgraf, R. Rieske, A.N. Danilewsky, K.J. Wolter, Laser drilled through silicon vias: crystal defect analysis by synchrotron X-ray topography, in: ESTC: 2nd Electronics System-Integration Technology Conference, Greenwich, New York, USA 1–4 Sept 2008 (IEEE, 2008), p. 1023
24.
Zurück zum Zitat Y.H. Lee, K.J. Choi, Analysis of silicon via hole drilling for wafer level chip stacking by UV laser. Int. J. Prec. Eng. Manuf. 11, 501–507 (2010)CrossRef Y.H. Lee, K.J. Choi, Analysis of silicon via hole drilling for wafer level chip stacking by UV laser. Int. J. Prec. Eng. Manuf. 11, 501–507 (2010)CrossRef
26.
Zurück zum Zitat A. Polyakov, T. Grob, R.A. Hovenkamp, H.J. Kettelarij, I. Eidner, M.A. de Samber, M. Bartek, J.N. Burghartz, Comparison of via-fabrication techniques for through-wafer electrical interconnect applications, in: ECTC: Electronic Components and Technology Conference, 1–4 June 2004 (IEEE, 2004), p. 1466 A. Polyakov, T. Grob, R.A. Hovenkamp, H.J. Kettelarij, I. Eidner, M.A. de Samber, M. Bartek, J.N. Burghartz, Comparison of via-fabrication techniques for through-wafer electrical interconnect applications, in: ECTC: Electronic Components and Technology Conference, 1–4 June 2004 (IEEE, 2004), p. 1466
27.
Zurück zum Zitat S.P. Lee, H.-W. Kang, S.-J. Lee, I.H. Lee, T.J. Ko, D.-W. Cho, Development of rapid mask fabrication technology for micro-abrasive jet machining. J. Mech. Sci. Technol. 22, 2190–2196 (2008)CrossRef S.P. Lee, H.-W. Kang, S.-J. Lee, I.H. Lee, T.J. Ko, D.-W. Cho, Development of rapid mask fabrication technology for micro-abrasive jet machining. J. Mech. Sci. Technol. 22, 2190–2196 (2008)CrossRef
28.
Zurück zum Zitat M.J. Archer, F.S. Ligler, Fabrication and characterization of silicon micro-funnels and tapered micro-channels for stochastic sensing applications. Sensors 8, 3848–3872 (2008)CrossRef M.J. Archer, F.S. Ligler, Fabrication and characterization of silicon micro-funnels and tapered micro-channels for stochastic sensing applications. Sensors 8, 3848–3872 (2008)CrossRef
29.
Zurück zum Zitat S.H. Kim, et al. (1 1 0) Silicon etching for high aspect ratio comb structures, in: ETFA’97: Proc. Emerging Technologies and Factory Automation, 9–12 Sept 1997, Los Angeles, CA (IEEE, 1997), p. 248 S.H. Kim, et al. (1 1 0) Silicon etching for high aspect ratio comb structures, in: ETFA’97: Proc. Emerging Technologies and Factory Automation, 9–12 Sept 1997, Los Angeles, CA (IEEE, 1997), p. 248
30.
Zurück zum Zitat G.T.A. Kovacs, N.I. Maluf, K.E. Petersen, Bulk micromachining of silicon. Proc. IEEE 86, 1536–1551 (1998)CrossRef G.T.A. Kovacs, N.I. Maluf, K.E. Petersen, Bulk micromachining of silicon. Proc. IEEE 86, 1536–1551 (1998)CrossRef
31.
Zurück zum Zitat S. Aachboun, P. Ranson, Deep anisotropic etching of silicon. J. Vac. Sci. Technol. A 17, 2270–2273 (1999)CrossRef S. Aachboun, P. Ranson, Deep anisotropic etching of silicon. J. Vac. Sci. Technol. A 17, 2270–2273 (1999)CrossRef
32.
Zurück zum Zitat F. Laermer, A. Schilp, Method of anisotropically etching silicon. US Patent US5501893 A (1996) F. Laermer, A. Schilp, Method of anisotropically etching silicon. US Patent US5501893 A (1996)
33.
Zurück zum Zitat M.J. Madou, Fundamentals of Microfabrication: The Science of Miniaturization, 2nd ed. (CRC Press, Boca Raton, 2002) M.J. Madou, Fundamentals of Microfabrication: The Science of Miniaturization, 2nd ed. (CRC Press, Boca Raton, 2002)
34.
Zurück zum Zitat P. Nallan, A. Khan, S. Pamarthy, S.T. Hsu, A. Kumar, Advanced deep silicon etching for deep trench isolation, optical components and micro-machining applications, in: ET Conference Proceedings (Applied Materials Internal Publication, 2001), Santa Clara, USA P. Nallan, A. Khan, S. Pamarthy, S.T. Hsu, A. Kumar, Advanced deep silicon etching for deep trench isolation, optical components and micro-machining applications, in: ET Conference Proceedings (Applied Materials Internal Publication, 2001), Santa Clara, USA
35.
Zurück zum Zitat S.-B. Jo, M.-W. Lee, S.-G. Lee, E.-H. Lee, S.-G. Park, B.-H. O, Characterization of a modified Bosch-type process for silicon mold fabrication. J. Vac. Sci. Technol. A 23, 905–910 (2005) S.-B. Jo, M.-W. Lee, S.-G. Lee, E.-H. Lee, S.-G. Park, B.-H. O, Characterization of a modified Bosch-type process for silicon mold fabrication. J. Vac. Sci. Technol. A 23, 905–910 (2005)
36.
Zurück zum Zitat M.J. Walker, Comparison of Bosch and cryogenic processes for patterning high aspect ratio features in silicon. Proc. SPIE 4407, 89–99 (2001)CrossRef M.J. Walker, Comparison of Bosch and cryogenic processes for patterning high aspect ratio features in silicon. Proc. SPIE 4407, 89–99 (2001)CrossRef
37.
Zurück zum Zitat I.R. Johnston, H. Ashraf, J.K. Bhardwaj, J. Hopkins, A.M. Hynes, G. Nicholls, S.A. McAuley, S. Hall, L. Atabo, G.R. Bogart, A. Kornblit, A.E. Novembre, Etching 200-mm diameter SCALPEL masks with the ASE process. Proc. SPIE 3997, 184–193 (2000)CrossRef I.R. Johnston, H. Ashraf, J.K. Bhardwaj, J. Hopkins, A.M. Hynes, G. Nicholls, S.A. McAuley, S. Hall, L. Atabo, G.R. Bogart, A. Kornblit, A.E. Novembre, Etching 200-mm diameter SCALPEL masks with the ASE process. Proc. SPIE 3997, 184–193 (2000)CrossRef
38.
Zurück zum Zitat R. Nagarajan, L. Ebin, D. Lee, C.S. Soh, K. Prasad, N. Balasubramanian, Development of a novel deep silicon tapered via etch process for through-silicon interconnection in 3-D integrated systems, in: ECTC: Electronic Components and Technology Conference, 30 May–2 June 2006, San Diego, CA (IEEE, 2006), p. 383 R. Nagarajan, L. Ebin, D. Lee, C.S. Soh, K. Prasad, N. Balasubramanian, Development of a novel deep silicon tapered via etch process for through-silicon interconnection in 3-D integrated systems, in: ECTC: Electronic Components and Technology Conference, 30 May–2 June 2006, San Diego, CA (IEEE, 2006), p. 383
39.
Zurück zum Zitat J.-H. Lai, H.S. Yang, H. Chen, C.R. King, J. Zaveri, R. Ravindran, M.S. Bakir, A ‘mesh’ seed layer for improved through-silicon-via fabrication. J. Micromech. Microeng. 20, 025016 (2010)CrossRef J.-H. Lai, H.S. Yang, H. Chen, C.R. King, J. Zaveri, R. Ravindran, M.S. Bakir, A ‘mesh’ seed layer for improved through-silicon-via fabrication. J. Micromech. Microeng. 20, 025016 (2010)CrossRef
40.
Zurück zum Zitat M. Miao, Y. Zhu, M. Ji, J. Ma, X. Sun, Y. Jin, Bottom-up filling of through silicon via (TSV) with Parylene as sidewall protection layer, in: EPTC’09: Electronics Packaging Technology Conference, 9–11 Dec 2009, Singapore (IEEE, 2009), p. 442 M. Miao, Y. Zhu, M. Ji, J. Ma, X. Sun, Y. Jin, Bottom-up filling of through silicon via (TSV) with Parylene as sidewall protection layer, in: EPTC’09: Electronics Packaging Technology Conference, 9–11 Dec 2009, Singapore (IEEE, 2009), p. 442
41.
Zurück zum Zitat D.S. Tezcan, F. Duval, H. Philipsen, O. Luhn, P. Soussan, B. Swinnen, Scalable through silicon via with polymer deep trench isolation for 3D wafer level packaging, in: ECTC: Electronic Components and Technology Conference, 26–29 May 2009, San Diego, CA, New York, USA (IEEE, 2009), p. 1159 D.S. Tezcan, F. Duval, H. Philipsen, O. Luhn, P. Soussan, B. Swinnen, Scalable through silicon via with polymer deep trench isolation for 3D wafer level packaging, in: ECTC: Electronic Components and Technology Conference, 26–29 May 2009, San Diego, CA, New York, USA (IEEE, 2009), p. 1159
42.
Zurück zum Zitat P. Shi, J. Enloe, R. van den Boom, B. Sapp, Direct copper electrodeposition on a chemical vapor-deposited Ruthenium seed layer for through-silicon vias, in: IITC: International Interconnect Technology Conference, 4–6 June 2012, San Jose, CA (IEEE, 2012), p. 1 P. Shi, J. Enloe, R. van den Boom, B. Sapp, Direct copper electrodeposition on a chemical vapor-deposited Ruthenium seed layer for through-silicon vias, in: IITC: International Interconnect Technology Conference, 4–6 June 2012, San Jose, CA (IEEE, 2012), p. 1
43.
Zurück zum Zitat M.J. Wolf, T. Dretschkow, B. Wunderle, N. Jurgensen, G. Engelmann, O. Ehrmann, A. Uhlig, B. Michel, H. Reichl, High aspect ratio TSV copper filling with different seed layers, in: ECTC: Electronic Components and Technology Conference, 27–30 May 2008, Lake Buena Vista, FL (IEEE, 2008), p. 563 M.J. Wolf, T. Dretschkow, B. Wunderle, N. Jurgensen, G. Engelmann, O. Ehrmann, A. Uhlig, B. Michel, H. Reichl, High aspect ratio TSV copper filling with different seed layers, in: ECTC: Electronic Components and Technology Conference, 27–30 May 2008, Lake Buena Vista, FL (IEEE, 2008), p. 563
44.
Zurück zum Zitat V.S. Rao, S.W. Ho, W.S.V. Lee, H.Y. Li, E. Liao, R. Nagarajan, T.C. Chai, X. Zhang, P. Damaruganath, TSV interposer fabrication for 3D IC packaging, in: EPTC’09: Electronics Packaging Technology Conference, 9–11 Dec 2009, Singapore (IEEE, 2009), p. 431 V.S. Rao, S.W. Ho, W.S.V. Lee, H.Y. Li, E. Liao, R. Nagarajan, T.C. Chai, X. Zhang, P. Damaruganath, TSV interposer fabrication for 3D IC packaging, in: EPTC’09: Electronics Packaging Technology Conference, 9–11 Dec 2009, Singapore (IEEE, 2009), p. 431
45.
Zurück zum Zitat Y. Au, Q.M. Wang, H. Li, J.S.M. Lehn, D.V. Shenai, R.G. Gordon, Vapor deposition of highly conformal copper seed layers for plating through-silicon vias (TSVs). J. Electrochem. Soc. 159, D382–D385 (2012)CrossRef Y. Au, Q.M. Wang, H. Li, J.S.M. Lehn, D.V. Shenai, R.G. Gordon, Vapor deposition of highly conformal copper seed layers for plating through-silicon vias (TSVs). J. Electrochem. Soc. 159, D382–D385 (2012)CrossRef
46.
Zurück zum Zitat P.H. Haumesser, L.A. Roule, S. Maitrejean, G. Passemard, Seed enhancement: a bridging technology. Future Fab. Intl. 19, 81–83 (2005) P.H. Haumesser, L.A. Roule, S. Maitrejean, G. Passemard, Seed enhancement: a bridging technology. Future Fab. Intl. 19, 81–83 (2005)
47.
Zurück zum Zitat K.J. Ganesh, A.D. Darbal, S. Rajasekhara, Effect of downscaling nano-copper interconnects on the microstructure revealed by high resolution TEM-orientation-mapping. Nanotechnology 23, 135702 (2012)CrossRef K.J. Ganesh, A.D. Darbal, S. Rajasekhara, Effect of downscaling nano-copper interconnects on the microstructure revealed by high resolution TEM-orientation-mapping. Nanotechnology 23, 135702 (2012)CrossRef
48.
Zurück zum Zitat T.P. Moffat, D. Josell, Extreme bottom-up superfilling of through-silicon-vias by damascene processing: suppressor disruption, positive feedback and Turing patterns. J. Electrochem. Soc. 159, D208–D216 (2012)CrossRef T.P. Moffat, D. Josell, Extreme bottom-up superfilling of through-silicon-vias by damascene processing: suppressor disruption, positive feedback and Turing patterns. J. Electrochem. Soc. 159, D208–D216 (2012)CrossRef
49.
Zurück zum Zitat J. Dukovic, S. Ramaswami, S. Pamarthy, R. Yalamanchili, N. Rajagopalan, K. Sapre, Z. Cao, T. Ritzdorf, Y. Wang, B. Eaton, R. Ding, M. Hernandez, M. Naik, D. Mao, J. Tseng, D. Cui, G. Mori, P. Fulmer, K. Sirajuddin, J. Hua, S. Xia, D. Erickson, R. Beica, E. Young, P. Kusler, R. Kulzer, S. Oemardani, H. Dai, X. Xu, M. Okazaki, K. Dotan, C. Yu, C. Lazik, J. Tran, L. Luo, Through-silicon-via technology for 3D integration, in: IEEE International Memory Workshop, 16–19 May 2010, Seoul (IEEE, 2010), p. 1 J. Dukovic, S. Ramaswami, S. Pamarthy, R. Yalamanchili, N. Rajagopalan, K. Sapre, Z. Cao, T. Ritzdorf, Y. Wang, B. Eaton, R. Ding, M. Hernandez, M. Naik, D. Mao, J. Tseng, D. Cui, G. Mori, P. Fulmer, K. Sirajuddin, J. Hua, S. Xia, D. Erickson, R. Beica, E. Young, P. Kusler, R. Kulzer, S. Oemardani, H. Dai, X. Xu, M. Okazaki, K. Dotan, C. Yu, C. Lazik, J. Tran, L. Luo, Through-silicon-via technology for 3D integration, in: IEEE International Memory Workshop, 16–19 May 2010, Seoul (IEEE, 2010), p. 1
50.
Zurück zum Zitat B. Horvath, J. Kawakita, T. Chikyow, Through silicon via filling methods with metal/polymer composite for three-dimensional LSI. Jpn. J. Appl. Phys. 53, 06JH01 (2014) B. Horvath, J. Kawakita, T. Chikyow, Through silicon via filling methods with metal/polymer composite for three-dimensional LSI. Jpn. J. Appl. Phys. 53, 06JH01 (2014)
51.
Zurück zum Zitat P. Dixit, T. Vehmas, S. Vahanen, P. Monnoyer, K. Henttinen, Fabrication and electrical characterization of high aspect ratio poly-silicon filled through-silicon vias. J. Micromech. Microeng. 22, 055021 (2012)CrossRef P. Dixit, T. Vehmas, S. Vahanen, P. Monnoyer, K. Henttinen, Fabrication and electrical characterization of high aspect ratio poly-silicon filled through-silicon vias. J. Micromech. Microeng. 22, 055021 (2012)CrossRef
52.
Zurück zum Zitat C.-F. Hsu, W.-P. Dow, H.-C. Chang, W.-Y. Chiu, Optimization of the copper plating process using the Taguchi experimental design method: I. Microvia filling by copper plating using dual leverlers. J. Electrochem. Soc. 162, D525–D530 (2015) C.-F. Hsu, W.-P. Dow, H.-C. Chang, W.-Y. Chiu, Optimization of the copper plating process using the Taguchi experimental design method: I. Microvia filling by copper plating using dual leverlers. J. Electrochem. Soc. 162, D525–D530 (2015)
53.
Zurück zum Zitat G. Pares, N. Bresson, S. Minoret, V. Lapras, P. Brianceau, J.F. Lugand, R. Anciant, N. Sillon, Through silicon via technology using tungsten metallization, in: ICICDT: International Conference IC Design & Technology, 2–4 May 2011, Kaohsiung (IEEE, 2011), p. 1 G. Pares, N. Bresson, S. Minoret, V. Lapras, P. Brianceau, J.F. Lugand, R. Anciant, N. Sillon, Through silicon via technology using tungsten metallization, in: ICICDT: International Conference IC Design & Technology, 2–4 May 2011, Kaohsiung (IEEE, 2011), p. 1
56.
Zurück zum Zitat D. Smith, S. Singh, Y. Ramnath, M. Rabie, D. Zhang, L. England, TSV residual Cu step height analysis by white light interferometry for 3D integration, in: ECTC: Electronic Components and Technology Conference, 26–29 May 2015, San Diego, CA (IEEE, 2015), p. 578 D. Smith, S. Singh, Y. Ramnath, M. Rabie, D. Zhang, L. England, TSV residual Cu step height analysis by white light interferometry for 3D integration, in: ECTC: Electronic Components and Technology Conference, 26–29 May 2015, San Diego, CA (IEEE, 2015), p. 578
57.
Zurück zum Zitat A. Heryanto, W.N. Putra, A. Trigg, S. Gao, W.S. Kwon, F.X. Che, X.F. Ang, J. Wei, R.I. Made, C.L.Gan, K.L. Pey, Effect of copper TSV annealing on via protrusion for TSV wafer fabrication. J. Electron Mater. 41, 2533–2542 (2012) A. Heryanto, W.N. Putra, A. Trigg, S. Gao, W.S. Kwon, F.X. Che, X.F. Ang, J. Wei, R.I. Made, C.L.Gan, K.L. Pey, Effect of copper TSV annealing on via protrusion for TSV wafer fabrication. J. Electron Mater. 41, 2533–2542 (2012)
58.
Zurück zum Zitat T. Jiang, S.-K. Ryu, Q. Zhao, J. Im, R. Huang, P.S. Ho, Measurement and analysis of thermal stresses in 3D integrated structures containing through-silicon-vias. Microelectron Reliab. 53, 53–62 (2013)CrossRef T. Jiang, S.-K. Ryu, Q. Zhao, J. Im, R. Huang, P.S. Ho, Measurement and analysis of thermal stresses in 3D integrated structures containing through-silicon-vias. Microelectron Reliab. 53, 53–62 (2013)CrossRef
59.
Zurück zum Zitat K. Ohta, A. Hirate, Y. Miyachi, T. Shimizu, S. Shingubara, All-wet TSV filling with highly adhesive displacement plated Cu seed layer, in: 3DIC: International 3D Systems Integration Conference, 31 Aug–2 Sept 2015, Sendai, New York, USA (IEEE, 2015), p. TS8.4.1 K. Ohta, A. Hirate, Y. Miyachi, T. Shimizu, S. Shingubara, All-wet TSV filling with highly adhesive displacement plated Cu seed layer, in: 3DIC: International 3D Systems Integration Conference, 31 Aug–2 Sept 2015, Sendai, New York, USA (IEEE, 2015), p. TS8.4.1
60.
Zurück zum Zitat Q. Cui, X. Sun, Y. Zhu, S. Ma, J. Chen, M. Miao, Y. Jin, Design and optimization of redistribution layer (RDL) on TSV interposer for high frequency applications, in: ICEPT-HDP: International Conference on Electronic Packaging Technology and High Density Packaging, 8–11 Aug 2011, Shanghai, New York, USA (IEEE, 2011), p. 1 Q. Cui, X. Sun, Y. Zhu, S. Ma, J. Chen, M. Miao, Y. Jin, Design and optimization of redistribution layer (RDL) on TSV interposer for high frequency applications, in: ICEPT-HDP: International Conference on Electronic Packaging Technology and High Density Packaging, 8–11 Aug 2011, Shanghai, New York, USA (IEEE, 2011), p. 1
Metadaten
Titel
Materials and Processing of TSV
verfasst von
Praveen Kumar
Indranath Dutta
Zhiheng Huang
Paul Conway
Copyright-Jahr
2017
DOI
https://doi.org/10.1007/978-3-319-44586-1_3

Neuer Inhalt