Skip to main content

2016 | OriginalPaper | Buchkapitel

6. Multi-Task MIMO Signal Processing

verfasst von : Chenxin Zhang, Liang Liu, Viktor Öwall

Erschienen in: Heterogeneous Reconfigurable Processors for Real-Time Baseband Processing

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Driven by the requirement of multi-dimensional computing in contemporary wireless communication technologies, reconfigurable platforms have come to the era of vector-based architectures. In this chapter, the reconfigurable cell array developed in Chaps. 4 and 5 is extended with extensive vector computing capabilities, aiming for high-throughput baseband processing in MIMO-OFDM systems. Besides the heterogeneous and hierarchical resource deployments, a vector-enhanced SIMD structure and various memory access schemes are employed. These architectural enhancements are designed to suffice stringent computational requirements while retaining high flexibility and hardware efficiency. Implemented in a 65 nm CMOS technology, the cell array occupies 8.88 mm2 core area. To illustrate its performance and flexibility, three computationally intensive blocks, namely channel estimation, channel matrix pre-processing, and symbol detection, of a 4 × 4 MIMO processing chain in a 20 MHz 64-QAM Long term evolution-advanced (LTE-A) downlink are mapped and processed in real-time. Operating at 500 MHz and 1.2 V voltage supply, the achieved throughput is 367.88 Mb/s and the average power consumption is 548.78 mW. The corresponding energy consumption for processing one information bit is 1.49 nJ. Comparing to state-of-the-art implementations, the presented solution outperforms related programmable platforms by several orders of magnitude in energy efficiency, and achieves similar level of area and energy efficiency to that of ASICs.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Fußnoten
1
Channel coefficients of each subcarrier are stationary over time within one time slot, i.e., 0.5 ms in LTE-A.
 
Literatur
3.
Zurück zum Zitat L. Bahl, J. Cocke, F. Jelinek, J. Raviv, Optimal decoding of linear codes for minimizing symbol error rate. IEEE Trans. Inf. Theory 20(2), 284–287 (1974)MATHMathSciNetCrossRef L. Bahl, J. Cocke, F. Jelinek, J. Raviv, Optimal decoding of linear codes for minimizing symbol error rate. IEEE Trans. Inf. Theory 20(2), 284–287 (1974)MATHMathSciNetCrossRef
4.
Zurück zum Zitat L.G. Barbero, J.S. Thompson, Fixing the complexity of the sphere decoder for MIMO detection. IEEE Trans. Wirel. Commun. 7(6), 2131–2142 (2008)CrossRef L.G. Barbero, J.S. Thompson, Fixing the complexity of the sphere decoder for MIMO detection. IEEE Trans. Wirel. Commun. 7(6), 2131–2142 (2008)CrossRef
5.
Zurück zum Zitat C. Bernard, F. Clermidy, A low-power VLIW processor for 3GPP-LTE complex numbers processing, in Design, Automation Test in Europe Conference Exhibition (DATE), Mar 2011, pp. 1–6 C. Bernard, F. Clermidy, A low-power VLIW processor for 3GPP-LTE complex numbers processing, in Design, Automation Test in Europe Conference Exhibition (DATE), Mar 2011, pp. 1–6
6.
Zurück zum Zitat C. Berrou, A. Glavieux, Near optimum error correcting coding and decoding: turbo-codes. IEEE Trans. Commun. 44(10), 1261–1271 (1996)CrossRef C. Berrou, A. Glavieux, Near optimum error correcting coding and decoding: turbo-codes. IEEE Trans. Commun. 44(10), 1261–1271 (1996)CrossRef
7.
Zurück zum Zitat A. Burg, et al., VLSI implementation of MIMO detection using the sphere decoding algorithm. IEEE J. Solid State Circuits 40(7), 1566–1577 (2005)CrossRef A. Burg, et al., VLSI implementation of MIMO detection using the sphere decoding algorithm. IEEE J. Solid State Circuits 40(7), 1566–1577 (2005)CrossRef
9.
Zurück zum Zitat R.C.H. Chang, C.H. Lin, K.H. Lin, C.L. Huang, F.C. Chen, Iterative QR decomposition architecture using the modified Gram-Schmidt algorithm for MIMO systems. IEEE Trans. Circuits Syst. Regul. Pap. 57(5), 1095–1102 (2010)MathSciNetCrossRef R.C.H. Chang, C.H. Lin, K.H. Lin, C.L. Huang, F.C. Chen, Iterative QR decomposition architecture using the modified Gram-Schmidt algorithm for MIMO systems. IEEE Trans. Circuits Syst. Regul. Pap. 57(5), 1095–1102 (2010)MathSciNetCrossRef
10.
Zurück zum Zitat P.L. Chiu, L.Z. Huang, L.W. Chai, C.F. Liao, Y.H. Huang, A 684Mbps 57mW Joint QR decomposition and MIMO processor for 4×4 MIMO-OFDM systems, in IEEE Asian Solid State Circuits Conference (ASSCC), Nov 2011, pp. 309–312 P.L. Chiu, L.Z. Huang, L.W. Chai, C.F. Liao, Y.H. Huang, A 684Mbps 57mW Joint QR decomposition and MIMO processor for 4×4 MIMO-OFDM systems, in IEEE Asian Solid State Circuits Conference (ASSCC), Nov 2011, pp. 309–312
11.
Zurück zum Zitat F. Clermidy, et al., A 477mW NoC-based digital baseband for MIMO 4G SDR, in IEEE International Solid-State Circuits Conference (ISSCC), Feb 2010, pp. 278–279 F. Clermidy, et al., A 477mW NoC-based digital baseband for MIMO 4G SDR, in IEEE International Solid-State Circuits Conference (ISSCC), Feb 2010, pp. 278–279
12.
Zurück zum Zitat V. Derudder, et al., A 200Mbps+ 2.14nJ/b digital baseband multi processor system-on-chip for SDRs, in IEEE Symposium on VLSI Circuits (VLSIC), 2009, pp. 292–293 V. Derudder, et al., A 200Mbps+ 2.14nJ/b digital baseband multi processor system-on-chip for SDRs, in IEEE Symposium on VLSI Circuits (VLSIC), 2009, pp. 292–293
13.
Zurück zum Zitat I. Diaz, B. Sathyanarayanan, A. Malek, F. Foroughi, J.N. Rodrigues, Highly scalable implementation of a robust MMSE channel estimator for OFDM multi-standard environment, in IEEE Workshop on Signal Processing Systems (SiPS), 2011, pp. 311–315 I. Diaz, B. Sathyanarayanan, A. Malek, F. Foroughi, J.N. Rodrigues, Highly scalable implementation of a robust MMSE channel estimator for OFDM multi-standard environment, in IEEE Workshop on Signal Processing Systems (SiPS), 2011, pp. 311–315
14.
Zurück zum Zitat O. Edfors, M. Sandell, J.J. van de Beek, S.K. Wilson, P.O. Börjesson, OFDM channel estimation by singular value decomposition. IEEE Trans. Commun. 46(7), 931–939 (1998)CrossRef O. Edfors, M. Sandell, J.J. van de Beek, S.K. Wilson, P.O. Börjesson, OFDM channel estimation by singular value decomposition. IEEE Trans. Commun. 46(7), 931–939 (1998)CrossRef
15.
Zurück zum Zitat F. Edman, V. Öwall, A scalable pipelined complex valued matrix inversion architecture. in IEEE International Symposium on Circuits and Systems (ISCAS), vol. 5, 2005, pp. 4489–4492 F. Edman, V. Öwall, A scalable pipelined complex valued matrix inversion architecture. in IEEE International Symposium on Circuits and Systems (ISCAS), vol. 5, 2005, pp. 4489–4492
16.
Zurück zum Zitat M.D. Ercegovac, L. Imbert, D.W. Matula, J.M. Muller, G. Wei, Improving Goldschmidt division, square root, and square root reciprocal. IEEE Trans. Comput. 49(7), 759–763 (2000)CrossRef M.D. Ercegovac, L. Imbert, D.W. Matula, J.M. Muller, G. Wei, Improving Goldschmidt division, square root, and square root reciprocal. IEEE Trans. Comput. 49(7), 759–763 (2000)CrossRef
17.
Zurück zum Zitat R. Fasthuber, et al., Exploration of soft-output MIMO detector implementations on Massive parallel processors. J. Signal Process. Syst. 64, 75–92 (2011) J. Signal Process. Syst. 64, 75–92 (2011) R. Fasthuber, et al., Exploration of soft-output MIMO detector implementations on Massive parallel processors. J. Signal Process. Syst. 64, 75–92 (2011) J. Signal Process. Syst. 64, 75–92 (2011)
18.
Zurück zum Zitat S. Gifford, C. Bergstrom, S. Chuprun, Adaptive and linear prediction channel tracking algorithms for mobile OFDM-MIMO applications, in IEEE Military Communications Conference (MILCOM), vol. 2, Oct 2005, pp. 1298–1302 S. Gifford, C. Bergstrom, S. Chuprun, Adaptive and linear prediction channel tracking algorithms for mobile OFDM-MIMO applications, in IEEE Military Communications Conference (MILCOM), vol. 2, Oct 2005, pp. 1298–1302
19.
Zurück zum Zitat G.H. Golub, C.F. Van Loan, Matrix Computations, 3rd edn. (Johns Hopkins University Press, Baltimore, Maryland, 1996)MATH G.H. Golub, C.F. Van Loan, Matrix Computations, 3rd edn. (Johns Hopkins University Press, Baltimore, Maryland, 1996)MATH
20.
Zurück zum Zitat L. Gor, M. Faulkner, Power reduction through upper triangular matrix tracking in QR detection MIMO receivers, in IEEE 64th Vehicular Technology Conference (VTC), Sept 2006, pp. 1–5 L. Gor, M. Faulkner, Power reduction through upper triangular matrix tracking in QR detection MIMO receivers, in IEEE 64th Vehicular Technology Conference (VTC), Sept 2006, pp. 1–5
22.
Zurück zum Zitat Z. Guo, P. Nilsson, Algorithm and implementation of the K-best sphere decoding for MIMO detection. IEEE J. Sel. Areas Commun. 24(3), 491–503 (2006)CrossRef Z. Guo, P. Nilsson, Algorithm and implementation of the K-best sphere decoding for MIMO detection. IEEE J. Sel. Areas Commun. 24(3), 491–503 (2006)CrossRef
23.
Zurück zum Zitat S. Haene, D. Perels, A. Burg, A real-time 4-Stream MIMO-OFDM transceiver: system design, FPGA implementation, and characterization. IEEE J. Sel. Areas Commun. 26(6), 877–889 (2008)CrossRef S. Haene, D. Perels, A. Burg, A real-time 4-Stream MIMO-OFDM transceiver: system design, FPGA implementation, and characterization. IEEE J. Sel. Areas Commun. 26(6), 877–889 (2008)CrossRef
24.
Zurück zum Zitat R.W. Heath, A. Paulraj, Antenna selection for spatial multiplexing systems based on minimum error rate, in IEEE International Conference on Communications (ICC), vol. 7, 2001, pp. 2276–2280 R.W. Heath, A. Paulraj, Antenna selection for spatial multiplexing systems based on minimum error rate, in IEEE International Conference on Communications (ICC), vol. 7, 2001, pp. 2276–2280
25.
Zurück zum Zitat M.H. Hsieh, C.H. Wei, Channel estimation for OFDM systems based on comb-type pilot arrangement in frequency selective fading channels. IEEE Trans. Consum. Electron. 44(1), 217–225 (1998)CrossRef M.H. Hsieh, C.H. Wei, Channel estimation for OFDM systems based on comb-type pilot arrangement in frequency selective fading channels. IEEE Trans. Consum. Electron. 44(1), 217–225 (1998)CrossRef
26.
Zurück zum Zitat X. Huang, C. Liang, J. Ma, System architecture and implementation of MIMO sphere decoders on FPGA. IEEE Trans. Very Large Scale Integr. VLSI Syst. 16(2), 188–197 (2008)CrossRef X. Huang, C. Liang, J. Ma, System architecture and implementation of MIMO sphere decoders on FPGA. IEEE Trans. Very Large Scale Integr. VLSI Syst. 16(2), 188–197 (2008)CrossRef
27.
Zurück zum Zitat Z.-Y. Huang, P.-Y. Tsai, Efficient implementation of QR decomposition for gigabit MIMO-OFDM systems. IEEE Trans. Circuits Syst. Regul. Pap. 58(10), 2531–2542 (2011)MathSciNetCrossRef Z.-Y. Huang, P.-Y. Tsai, Efficient implementation of QR decomposition for gigabit MIMO-OFDM systems. IEEE Trans. Circuits Syst. Regul. Pap. 58(10), 2531–2542 (2011)MathSciNetCrossRef
28.
Zurück zum Zitat J. Janhunen, O. Silven, M. Juntti, M. Myllyla, Software defined radio implementation of K-best list sphere detector algorithm, in International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), July 2008, pp. 100–107 J. Janhunen, O. Silven, M. Juntti, M. Myllyla, Software defined radio implementation of K-best list sphere detector algorithm, in International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), July 2008, pp. 100–107
29.
Zurück zum Zitat J. Janhunen, T. Pitkanen, O. Silven, M. Juntti, Fixed- and floating-point processor comparison for MIMO-OFDM detector. IEEE J. Sel. Top. Sign. Proces. 5(8), 1588–1598 (2011)CrossRef J. Janhunen, T. Pitkanen, O. Silven, M. Juntti, Fixed- and floating-point processor comparison for MIMO-OFDM detector. IEEE J. Sel. Top. Sign. Proces. 5(8), 1588–1598 (2011)CrossRef
30.
Zurück zum Zitat Y. Kim, R.N. Mahapatra, I. Park, K. Choi, Low power reconfiguration technique for coarse-grained reconfigurable architecture. IEEE Trans. Very Large Scale Integr. VLSI Syst. 17(5), 593–603 (2009)CrossRef Y. Kim, R.N. Mahapatra, I. Park, K. Choi, Low power reconfiguration technique for coarse-grained reconfigurable architecture. IEEE Trans. Very Large Scale Integr. VLSI Syst. 17(5), 593–603 (2009)CrossRef
31.
Zurück zum Zitat C. Kozyrakis, D. Patterson, Vector vs. superscalar and VLIW architectures for embedded multimedia benchmarks, in 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002, pp. 283–293 C. Kozyrakis, D. Patterson, Vector vs. superscalar and VLIW architectures for embedded multimedia benchmarks, in 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002, pp. 283–293
32.
Zurück zum Zitat H. Lee, C. Chakrabarti, T. Mudge, A low-power DSP for wireless communications. IEEE Trans. Very Large Scale Integr. VLSI Syst. 18(9), 1310–1322 (2010)CrossRef H. Lee, C. Chakrabarti, T. Mudge, A low-power DSP for wireless communications. IEEE Trans. Very Large Scale Integr. VLSI Syst. 18(9), 1310–1322 (2010)CrossRef
33.
Zurück zum Zitat L. Liu, F. Ye, X. Ma, T. Zhang, J. Ren, A 1.1-Gb/s 115-pJ/bit configurable MIMO detector using 0.13-μCMOS technology. IEEE Trans. Circuits Syst. Express Briefs 57(9), 701–705 (2010) L. Liu, F. Ye, X. Ma, T. Zhang, J. Ren, A 1.1-Gb/s 115-pJ/bit configurable MIMO detector using 0.13-μCMOS technology. IEEE Trans. Circuits Syst. Express Briefs 57(9), 701–705 (2010)
34.
Zurück zum Zitat L. Liu, J. Löfgren, P. Nilsson, Area-efficient configurable high-throughput signal detector supporting multiple MIMO modes. IEEE Trans. Circuits Syst. Regul. Pap. 59(9), 2085–2096 (2012)CrossRef L. Liu, J. Löfgren, P. Nilsson, Area-efficient configurable high-throughput signal detector supporting multiple MIMO modes. IEEE Trans. Circuits Syst. Regul. Pap. 59(9), 2085–2096 (2012)CrossRef
35.
Zurück zum Zitat J. Löfgren, L. Liu, O. Edfors, P. Nilsson, Improved matching-pursuit implementation for LTE channel estimation. IEEE Trans. Circuits Syst. Regul. Pap. 61(1), 226–237 (2014)CrossRef J. Löfgren, L. Liu, O. Edfors, P. Nilsson, Improved matching-pursuit implementation for LTE channel estimation. IEEE Trans. Circuits Syst. Regul. Pap. 61(1), 226–237 (2014)CrossRef
36.
Zurück zum Zitat P. Luethi, A. Burg, S. Haene, D. Perels, N. Felber, W. Fichtner, VLSI implementation of a high-speed iterative sorted MMSE QR decomposition, in IEEE International Symposium on Circuits and Systems (ISCAS), 2007, pp. 1421–1424 P. Luethi, A. Burg, S. Haene, D. Perels, N. Felber, W. Fichtner, VLSI implementation of a high-speed iterative sorted MMSE QR decomposition, in IEEE International Symposium on Circuits and Systems (ISCAS), 2007, pp. 1421–1424
37.
Zurück zum Zitat M. Li, et al., Optimizing near-ML MIMO detector for SDR baseband on parallel programmable architectures, in Design, Automation and Test in Europe (DATE), Mar 2008, pp. 444–449 M. Li, et al., Optimizing near-ML MIMO detector for SDR baseband on parallel programmable architectures, in Design, Automation and Test in Europe (DATE), Mar 2008, pp. 444–449
38.
Zurück zum Zitat M. Mahdavi, M. Shabany, Novel MIMO detection algorithm for high-order constellations in the complex domain. IEEE Trans. Very Large Scale Integr. VLSI Syst. 21(5), 834–847 (2013)CrossRef M. Mahdavi, M. Shabany, Novel MIMO detection algorithm for high-order constellations in the complex domain. IEEE Trans. Very Large Scale Integr. VLSI Syst. 21(5), 834–847 (2013)CrossRef
39.
Zurück zum Zitat K. Mohammed, B. Daneshrad, A MIMO decoder accelerator for next generation wireless communications. IEEE Trans. Very Large Scale Integr. VLSI Syst. 18(11), 1544–1555 (2010)CrossRef K. Mohammed, B. Daneshrad, A MIMO decoder accelerator for next generation wireless communications. IEEE Trans. Very Large Scale Integr. VLSI Syst. 18(11), 1544–1555 (2010)CrossRef
40.
Zurück zum Zitat A. Nilsson, E. Tell, D. Liu, An 11 mm2, 70 mW fully programmable baseband processor for mobile WiMAX and DVB-T/H in 0.12μm CMOS. IEEE J. Solid-State Circuits 44(1), 90–97 (2009) A. Nilsson, E. Tell, D. Liu, An 11 mm2, 70 mW fully programmable baseband processor for mobile WiMAX and DVB-T/H in 0.12μm CMOS. IEEE J. Solid-State Circuits 44(1), 90–97 (2009)
41.
Zurück zum Zitat T. Nylanden, J. Janhunen, O. Silven, M. Juntti, A GPU implementation for two MIMO-OFDM detectors, in International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), July 2010, pp. 293–300 T. Nylanden, J. Janhunen, O. Silven, M. Juntti, A GPU implementation for two MIMO-OFDM detectors, in International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), July 2010, pp. 293–300
42.
Zurück zum Zitat J.M. Rabaey, A. Chandrakasan, B. Nikolic, Digital Integrated Circuits - A Design Perspective, 2nd edn. (Prentice Hall, Englewood Cliffs, 2002) J.M. Rabaey, A. Chandrakasan, B. Nikolic, Digital Integrated Circuits - A Design Perspective, 2nd edn. (Prentice Hall, Englewood Cliffs, 2002)
43.
Zurück zum Zitat S. Roger, C. Ramiro, A. Gonzalez, V. Almenar, A.M. Vidal, Fully parallel GPU implementation of a fixed-complexity soft-output MIMO detector. IEEE Trans. Veh. Technol. 61(8), 3796–3800 (2012)CrossRef S. Roger, C. Ramiro, A. Gonzalez, V. Almenar, A.M. Vidal, Fully parallel GPU implementation of a fixed-complexity soft-output MIMO detector. IEEE Trans. Veh. Technol. 61(8), 3796–3800 (2012)CrossRef
44.
Zurück zum Zitat M. Shabany, D. Patel, P.G. Gulak, A low-latency low-power QR-decomposition ASIC implementation in 0.13 μm CMOS. IEEE Trans. Circuits Syst. Regul. Pap. 60(2), 327–340 (2013) M. Shabany, D. Patel, P.G. Gulak, A low-latency low-power QR-decomposition ASIC implementation in 0.13 μm CMOS. IEEE Trans. Circuits Syst. Regul. Pap. 60(2), 327–340 (2013)
45.
Zurück zum Zitat M. Šimko, D. Wu, C. Mehlfüehrer, J. Eilert, D. Liu, Implementation aspects of channel estimation for 3GPP LTE terminals, in 11th European Wireless Conference, Apr 2011, pp. 1–5 M. Šimko, D. Wu, C. Mehlfüehrer, J. Eilert, D. Liu, Implementation aspects of channel estimation for 3GPP LTE terminals, in 11th European Wireless Conference, Apr 2011, pp. 1–5
46.
Zurück zum Zitat D. Sui, Y. Li, J. Wang, P. Wang, B. Zhou, High throughput MIMO-OFDM detection with graphics processing units, in IEEE International Conference on Computer Science and Automation Engineering (CSAE), vol. 2, May 2012, pp. 176–179 D. Sui, Y. Li, J. Wang, P. Wang, B. Zhou, High throughput MIMO-OFDM detection with graphics processing units, in IEEE International Conference on Computer Science and Automation Engineering (CSAE), vol. 2, May 2012, pp. 176–179
47.
Zurück zum Zitat M. Thuresson, et al., FlexCore: utilizing exposed datapath control for efficient computing. J. Signal Process. Syst. 57(1), 5–19 (2009)CrossRef M. Thuresson, et al., FlexCore: utilizing exposed datapath control for efficient computing. J. Signal Process. Syst. 57(1), 5–19 (2009)CrossRef
48.
Zurück zum Zitat M. Wu, S. Gupta, Y. Sun, J.R. Cavallaro, A GPU implementation of a real-time MIMO detector, in IEEE Workshop on Signal Processing Systems (SiPS), Oct 2009, pp. 303–308 M. Wu, S. Gupta, Y. Sun, J.R. Cavallaro, A GPU implementation of a real-time MIMO detector, in IEEE Workshop on Signal Processing Systems (SiPS), Oct 2009, pp. 303–308
49.
Zurück zum Zitat D. Wübben, J. Rinas, R. Böhnke, V. Kühn, K.D. Kammeyer, Efficient algorithm for detecting layered space-time codes, in 4th International ITG Conference on Source and Channel Coding (SCC), Jan 2002, pp. 399–405 D. Wübben, J. Rinas, R. Böhnke, V. Kühn, K.D. Kammeyer, Efficient algorithm for detecting layered space-time codes, in 4th International ITG Conference on Source and Channel Coding (SCC), Jan 2002, pp. 399–405
50.
Zurück zum Zitat D. Wübben, R. Böhnke, V. Kühn, K.D. Kammeyer, MMSE extension of V-BLAST based on sorted QR decomposition, in IEEE 58th Vehicular Technology Conference (VTC), vol. 1, 2003, pp. 508–512 D. Wübben, R. Böhnke, V. Kühn, K.D. Kammeyer, MMSE extension of V-BLAST based on sorted QR decomposition, in IEEE 58th Vehicular Technology Conference (VTC), vol. 1, 2003, pp. 508–512
51.
Zurück zum Zitat Y. Xie, W. Wolf, H. Lekatsas, Code compression for embedded VLIW processors using variable-to-fixed coding. IEEE Trans. Very Large Scale Integr. VLSI Syst. 14(5), 525–536 (2006)CrossRef Y. Xie, W. Wolf, H. Lekatsas, Code compression for embedded VLIW processors using variable-to-fixed coding. IEEE Trans. Very Large Scale Integr. VLSI Syst. 14(5), 525–536 (2006)CrossRef
52.
Zurück zum Zitat C. Yang, D. Marković, A flexible DSP architecture for MIMO sphere decoding. IEEE Trans. Circuits Syst. Regul. Pap. 56(10), 2301–2314 (2009)CrossRef C. Yang, D. Marković, A flexible DSP architecture for MIMO sphere decoding. IEEE Trans. Circuits Syst. Regul. Pap. 56(10), 2301–2314 (2009)CrossRef
53.
Zurück zum Zitat S. Ye, S. H. Wong, C. Worrall, Enhanced physical downlink control channel in LTE advanced release 11. IEEE Commun. Mag. 51(2), 82–89 (2013)CrossRef S. Ye, S. H. Wong, C. Worrall, Enhanced physical downlink control channel in LTE advanced release 11. IEEE Commun. Mag. 51(2), 82–89 (2013)CrossRef
54.
Zurück zum Zitat C. Zhang, T. Lenart, H. Svensson, V. Öwall, Design of coarse-grained dynamically reconfigurable architecture for DSP applications, in International Conference on Reconfigurable Computing and FPGAs (ReConFig), Dec 2009, pp. 338–343 C. Zhang, T. Lenart, H. Svensson, V. Öwall, Design of coarse-grained dynamically reconfigurable architecture for DSP applications, in International Conference on Reconfigurable Computing and FPGAs (ReConFig), Dec 2009, pp. 338–343
55.
Zurück zum Zitat C. Zhang, L. Liu, D. Marković, V. Öwall, A heterogeneous reconfigurable cell array for MIMO signal processing. IEEE Transactions on Circuits and Systems I: Regular Papers, 62(3), 733–742 (2015)MathSciNetCrossRef C. Zhang, L. Liu, D. Marković, V. Öwall, A heterogeneous reconfigurable cell array for MIMO signal processing. IEEE Transactions on Circuits and Systems I: Regular Papers, 62(3), 733–742 (2015)MathSciNetCrossRef
56.
Zurück zum Zitat C. Zhang, H. Prabhu, Y. Liu, L. Liu, O. Edfors, V. Öwall, Energy efficient group-sort QRD processor with on-line update for MIMO channel pre-processing. IEEE Trans. Circuits Syst. Regul. Pap. 62(5), 1220–1229 (2015)CrossRef C. Zhang, H. Prabhu, Y. Liu, L. Liu, O. Edfors, V. Öwall, Energy efficient group-sort QRD processor with on-line update for MIMO channel pre-processing. IEEE Trans. Circuits Syst. Regul. Pap. 62(5), 1220–1229 (2015)CrossRef
Metadaten
Titel
Multi-Task MIMO Signal Processing
verfasst von
Chenxin Zhang
Liang Liu
Viktor Öwall
Copyright-Jahr
2016
DOI
https://doi.org/10.1007/978-3-319-24004-6_6

Neuer Inhalt