Skip to main content

2013 | OriginalPaper | Buchkapitel

8. Multicore Platforms: Processors, Communication and Memories

verfasst von : Mateus Beck Rutzig

Erschienen in: Adaptable Embedded Systems

Verlag: Springer New York

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Instruction level parallelism exploitation has become extremely costly in terms of power and area, bringing insignificant performance gains, if one considers the last generation of processors. Therefore, multiprocessing systems appear as an alternative to push up performance of current embedded devices by exploiting parallelism at a different level. There is a wide range of characteristics to be considered when designing a multiprocessing system, and this chapter focuses on discussing this huge design space. First, the state-of-the-art of multiprocessing systems in both academic and market fields are shown. Then, adaptability is discussed, since it is getting more and more relevant in such environments. Finally, we discuss some issues that surround the multiprocessing scenario, such as the communication mechanisms and programming models.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Anantaraman, A., Seth, K., Patil, K., Rotenberg, E., Mueller, F.: Virtual simple architecture (visa): exceeding the complexity limit in safe real-time systems. In: Proceedings of the 30th Annual International Symposium on Computer Architecture, 2003, pp. 350–361 (2003). doi:10.1109/ISCA.2003.1207013 Anantaraman, A., Seth, K., Patil, K., Rotenberg, E., Mueller, F.: Virtual simple architecture (visa): exceeding the complexity limit in safe real-time systems. In: Proceedings of the 30th Annual International Symposium on Computer Architecture, 2003, pp. 350–361 (2003). doi:10.1109/ISCA.2003.1207013
2.
Zurück zum Zitat Barroso, L., Gharachorloo, K., McNamara, R., Nowatzyk, A., Qadeer, S., Sano, B., Smith, S., Stets, R., Verghese, B.: Piranha: a scalable architecture based on single-chip multiprocessing. In: Proceedings of the 27th International Symposium on Computer Architecture, 2000, pp. 282–293 (2000) Barroso, L., Gharachorloo, K., McNamara, R., Nowatzyk, A., Qadeer, S., Sano, B., Smith, S., Stets, R., Verghese, B.: Piranha: a scalable architecture based on single-chip multiprocessing. In: Proceedings of the 27th International Symposium on Computer Architecture, 2000, pp. 282–293 (2000)
3.
Zurück zum Zitat Beck, A.C.S., Rutzig, M.B., Gaydadjiev, G., Carro, L.: Transparent reconfigurable acceleration for heterogeneous embedded applications. In: Proceedings of the Conference on Design, Automation and Test in Europe, DATE ’08, pp. 1208–1213. ACM, New York (2008). doi:http://doi.acm.org/10.1145/1403375.1403669. Beck, A.C.S., Rutzig, M.B., Gaydadjiev, G., Carro, L.: Transparent reconfigurable acceleration for heterogeneous embedded applications. In: Proceedings of the Conference on Design, Automation and Test in Europe, DATE ’08, pp. 1208–1213. ACM, New York (2008). doi:http://​doi.​acm.​org/​10.​1145/​1403375.​1403669.
4.
Zurück zum Zitat Bernick, D., Bruckert, B., Vigna, P., Garcia, D., Jardine, R., Klecka, J., Smullen, J.: Nonstop reg; advanced architecture. In: Proceedings of the International Conference on Dependable Systems and Networks, 2005. DSN 2005, pp. 12–21 (2005). doi:10.1109/DSN.2005.70 Bernick, D., Bruckert, B., Vigna, P., Garcia, D., Jardine, R., Klecka, J., Smullen, J.: Nonstop reg; advanced architecture. In: Proceedings of the International Conference on Dependable Systems and Networks, 2005. DSN 2005, pp. 12–21 (2005). doi:10.1109/DSN.2005.70
5.
Zurück zum Zitat Berticelli Lo, T., Beck, A., Rutzig, M., Carro, L.: A low-energy approach for context memory in reconfigurable systems. In: 2010 IEEE International Symposium on Parallel Distributed Processing, Workshops and Phd Forum (IPDPSW), pp. 1–8 (2010). doi:10.1109/IPDPSW.2010.5470745 Berticelli Lo, T., Beck, A., Rutzig, M., Carro, L.: A low-energy approach for context memory in reconfigurable systems. In: 2010 IEEE International Symposium on Parallel Distributed Processing, Workshops and Phd Forum (IPDPSW), pp. 1–8 (2010). doi:10.1109/IPDPSW.2010.5470745
6.
Zurück zum Zitat Clark, N., Kudlur, M., Park, H., Mahlke, S., Flautner, K.: Application-specific processing on a general-purpose core via transparent instruction set customization. In: 37th International Symposium on Microarchitecture, 2004. MICRO-37 2004, pp. 30–40 (2004). doi:10.1109/MICRO.2004.5 Clark, N., Kudlur, M., Park, H., Mahlke, S., Flautner, K.: Application-specific processing on a general-purpose core via transparent instruction set customization. In: 37th International Symposium on Microarchitecture, 2004. MICRO-37 2004, pp. 30–40 (2004). doi:10.1109/MICRO.2004.5
7.
Zurück zum Zitat Dagum, L., Menon, R.: Openmp: an industry standard api for shared-memory programming. IEEE Comput. Sci. Eng. 5(1), 46–55 (1998). doi: 10.1109/99.660313CrossRef Dagum, L., Menon, R.: Openmp: an industry standard api for shared-memory programming. IEEE Comput. Sci. Eng. 5(1), 46–55 (1998). doi: 10.1109/99.660313CrossRef
8.
Zurück zum Zitat Garcia, P., Compton, K.: Kernel sharing on reconfigurable multiprocessor systems. In: International Conference on ICECE Technology, 2008. FPT 2008, pp. 225–232 (2008). doi:10.1109/FPT.2008.4762387 Garcia, P., Compton, K.: Kernel sharing on reconfigurable multiprocessor systems. In: International Conference on ICECE Technology, 2008. FPT 2008, pp. 225–232 (2008). doi:10.1109/FPT.2008.4762387
9.
Zurück zum Zitat Gold, B., Kim, J., Smolens, J., Chung, E., Liaskovitis, V., Nurvitadhi, E., Falsafi, B., Hoe, J., Nowatzyk, A.: Truss: a reliable, scalable server architecture. IEEE Micro. 25(6), 51–59 (2005). doi:10.1109/MM.2005.122CrossRef Gold, B., Kim, J., Smolens, J., Chung, E., Liaskovitis, V., Nurvitadhi, E., Falsafi, B., Hoe, J., Nowatzyk, A.: Truss: a reliable, scalable server architecture. IEEE Micro. 25(6), 51–59 (2005). doi:10.1109/MM.2005.122CrossRef
10.
Zurück zum Zitat Gonzalez, R.: Xtensa: a configurable and extensible processor. IEEE Micro. 20(2), 60–70 (2000). doi:10.1109/40.848473CrossRef Gonzalez, R.: Xtensa: a configurable and extensible processor. IEEE Micro. 20(2), 60–70 (2000). doi:10.1109/40.848473CrossRef
12.
Zurück zum Zitat Guo, J., Papanikolaou, A., Marchal, P., Catthoor, F.: Physical design implementation of segmented buses to reduce communication energy. In: Proceedings of the 2006 Asia and South Pacific Design Automation Conference, ASP-DAC ’06, pp. 42–47. IEEE, Piscataway (2006). doi:http://dx.doi.org/10.1145/1118299.1118311. Guo, J., Papanikolaou, A., Marchal, P., Catthoor, F.: Physical design implementation of segmented buses to reduce communication energy. In: Proceedings of the 2006 Asia and South Pacific Design Automation Conference, ASP-DAC ’06, pp. 42–47. IEEE, Piscataway (2006). doi:http://​dx.​doi.​org/​10.​1145/​1118299.​1118311.
13.
Zurück zum Zitat Hammond, L., Hubbert, B., Siu, M., Prabhu, M., Chen, M., Olukolun, K.: The stanford hydra cmp. IEEE Micro. 20(2), 71 –84 (2000). doi:10.1109/40.848474CrossRef Hammond, L., Hubbert, B., Siu, M., Prabhu, M., Chen, M., Olukolun, K.: The stanford hydra cmp. IEEE Micro. 20(2), 71 –84 (2000). doi:10.1109/40.848474CrossRef
15.
Zurück zum Zitat ITRS: ITRS 2010 Roadmap. Tech. rep., International Technology Roadmap for Semiconductors (2010) ITRS: ITRS 2010 Roadmap. Tech. rep., International Technology Roadmap for Semiconductors (2010)
17.
Zurück zum Zitat Koenig, R., Bauer, L., Stripf, T., Shafique, M., Ahmed, W., Becker, J., Henkel, J.: Kahrisma: A novel hypermorphic reconfigurable-instruction-set multi-grained-array architecture. In: Design, Automation Test in Europe Conference Exhibition (DATE), 2010. European Design and Automation Association 3001, Leuven, pp. 819–824 (2010) Koenig, R., Bauer, L., Stripf, T., Shafique, M., Ahmed, W., Becker, J., Henkel, J.: Kahrisma: A novel hypermorphic reconfigurable-instruction-set multi-grained-array architecture. In: Design, Automation Test in Europe Conference Exhibition (DATE), 2010. European Design and Automation Association 3001, Leuven, pp. 819–824 (2010)
18.
Zurück zum Zitat Kumar, R., Tullsen, D.M., Ranganathan, P., Jouppi, N.P., Farkas, K.I.: Single-isa heterogeneous multi-core architectures for multithreaded workload performance. In: Proceedings of the 31st Annual International Symposium on Computer Architecture, ISCA ’04, p. 64. IEEE Computer Society, Washington, DC (2004). http://dl.acm.org/citation.cfm?id=998680.1006707 Kumar, R., Tullsen, D.M., Ranganathan, P., Jouppi, N.P., Farkas, K.I.: Single-isa heterogeneous multi-core architectures for multithreaded workload performance. In: Proceedings of the 31st Annual International Symposium on Computer Architecture, ISCA ’04, p. 64. IEEE Computer Society, Washington, DC (2004). http://​dl.​acm.​org/​citation.​cfm?​id=​998680.​1006707
19.
Zurück zum Zitat Lindholm, E., Nickolls, J., Oberman, S., Montrym, J.: Nvidia tesla: A unified graphics and computing architecture. IEEE Micro. 28(2), 39–55 (2008). doi:10.1109/MM.2008. 31CrossRef Lindholm, E., Nickolls, J., Oberman, S., Montrym, J.: Nvidia tesla: A unified graphics and computing architecture. IEEE Micro. 28(2), 39–55 (2008). doi:10.1109/MM.2008. 31CrossRef
21.
Zurück zum Zitat Marcon, C., Borin, A., Susin, A., Carro, L., Wagner, F.: Time and energy efficient mapping of embedded applications onto nocs. In: Proceedings of the ASP-DAC 2005 Asia and South Pacific Design Automation Conference, 2005. Asia and South Pacific, vol. 1, pp. 33–38 (2005). doi:10.1109/ASPDAC.2005.1466125 Marcon, C., Borin, A., Susin, A., Carro, L., Wagner, F.: Time and energy efficient mapping of embedded applications onto nocs. In: Proceedings of the ASP-DAC 2005 Asia and South Pacific Design Automation Conference, 2005. Asia and South Pacific, vol. 1, pp. 33–38 (2005). doi:10.1109/ASPDAC.2005.1466125
22.
Zurück zum Zitat Nakano, J., Montesinos, P., Gharachorloo, K., Torrellas, J.: Revivei/o: efficient handling of i/o in highly-available rollback-recovery servers. In: The Twelfth International Symposium on High-Performance Computer Architecture, 2006, pp. 200–211 (2006). doi:10.1109/HPCA.2006.1598129 Nakano, J., Montesinos, P., Gharachorloo, K., Torrellas, J.: Revivei/o: efficient handling of i/o in highly-available rollback-recovery servers. In: The Twelfth International Symposium on High-Performance Computer Architecture, 2006, pp. 200–211 (2006). doi:10.1109/HPCA.2006.1598129
23.
Zurück zum Zitat Rutzig, M., Beck, A., Carro, L.: Creams: An embedded multiprocessor platform. In: Koch, A., Krishnamurthy, R., McAllister, J., Woods, R., El-Ghazawi, T. (eds.) Reconfigurable Computing: Architectures, Tools and Applications. Lecture Notes in Computer Science, vol. 6578, pp. 118–124. Springer, Berlin/Heidelberg (2011)CrossRef Rutzig, M., Beck, A., Carro, L.: Creams: An embedded multiprocessor platform. In: Koch, A., Krishnamurthy, R., McAllister, J., Woods, R., El-Ghazawi, T. (eds.) Reconfigurable Computing: Architectures, Tools and Applications. Lecture Notes in Computer Science, vol. 6578, pp. 118–124. Springer, Berlin/Heidelberg (2011)CrossRef
24.
Zurück zum Zitat Seiler, L., Carmean, D., Sprangle, E., Forsyth, T., Abrash, M., Dubey, P., Junkins, S., Lake, A., Sugerman, J., Cavin, R., Espasa, R., Grochowski, E., Juan, T., Hanrahan, P.: Larrabee: a many-core x86 architecture for visual computing. ACM Trans. Graph. 27, 18:1–18:15 (2008). doi:http://doi.acm.org/10.1145/1360612.1360617 Seiler, L., Carmean, D., Sprangle, E., Forsyth, T., Abrash, M., Dubey, P., Junkins, S., Lake, A., Sugerman, J., Cavin, R., Espasa, R., Grochowski, E., Juan, T., Hanrahan, P.: Larrabee: a many-core x86 architecture for visual computing. ACM Trans. Graph. 27, 18:1–18:15 (2008). doi:http://​doi.​acm.​org/​10.​1145/​1360612.​1360617
25.
Zurück zum Zitat Smit, G.J., Kokkeler, A.B., Wolkotte, P.T., van de Burgwal, M.D.: Multi-core architectures and streaming applications. In: Proceedings of the 2008 International Workshop on System Level Interconnect Prediction, SLIP ’08, pp. 35–42. ACM, New York (2008). doi:http://doi.acm.org/10.1145/1353610.1353618. Smit, G.J., Kokkeler, A.B., Wolkotte, P.T., van de Burgwal, M.D.: Multi-core architectures and streaming applications. In: Proceedings of the 2008 International Workshop on System Level Interconnect Prediction, SLIP ’08, pp. 35–42. ACM, New York (2008). doi:http://​doi.​acm.​org/​10.​1145/​1353610.​1353618.
26.
Zurück zum Zitat Sorin, D., Martin, M., Hill, M., Wood, D.: Safetynet: improving the availability of shared memory multiprocessors with global checkpoint/recovery. In: Proceedings of the 29th Annual International Symposium On Computer Architecture, 2002, pp. 123–134 (2002). doi:10.1109/ISCA.2002.1003568 Sorin, D., Martin, M., Hill, M., Wood, D.: Safetynet: improving the availability of shared memory multiprocessors with global checkpoint/recovery. In: Proceedings of the 29th Annual International Symposium On Computer Architecture, 2002, pp. 123–134 (2002). doi:10.1109/ISCA.2002.1003568
27.
Zurück zum Zitat Stitt, G., Vahid, F.: Thread warping: a framework for dynamic synthesis of thread accelerators. In: Proceedings of the 5th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS ’07, pp. 93–98. ACM, New York (2007). doihttp://doi.acm.org/10.1145/1289816.1289841. Stitt, G., Vahid, F.: Thread warping: a framework for dynamic synthesis of thread accelerators. In: Proceedings of the 5th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS ’07, pp. 93–98. ACM, New York (2007). doihttp://​doi.​acm.​org/​10.​1145/​1289816.​1289841.
29.
Zurück zum Zitat Tanenbaum, A.S.: Modern Operating Systems, 3rd edn. Prentice Hall, Upper Saddle River (2007) Tanenbaum, A.S.: Modern Operating Systems, 3rd edn. Prentice Hall, Upper Saddle River (2007)
30.
Zurück zum Zitat Vangal, S., Howard, J., Ruhl, G., Dighe, S., Wilson, H., Tschanz, J., Finan, D., Iyer, P., Singh, A., Jacob, T., Jain, S., Venkataraman, S., Hoskote, Y., Borkar, N.: An 80-tile 1.28tflops network-on-chip in 65nm cmos. In: IEEE International Solid-State Circuits Conference, 2007. ISSCC 2007. Digest of Technical Papers, pp. 98–589 (2007). doi:10.1109/ISSCC.2007.373606 Vangal, S., Howard, J., Ruhl, G., Dighe, S., Wilson, H., Tschanz, J., Finan, D., Iyer, P., Singh, A., Jacob, T., Jain, S., Venkataraman, S., Hoskote, Y., Borkar, N.: An 80-tile 1.28tflops network-on-chip in 65nm cmos. In: IEEE International Solid-State Circuits Conference, 2007. ISSCC 2007. Digest of Technical Papers, pp. 98–589 (2007). doi:10.1109/ISSCC.2007.373606
31.
32.
Zurück zum Zitat Watkins, M., Cianchetti, M., Albonesi, D.: Shared reconfigurable architectures for cmps. In: International Conference on Field Programmable Logic and Applications, 2008. FPL 2008. pp. 299–304 (2008). doi:10.1109/FPL.2008.4629948 Watkins, M., Cianchetti, M., Albonesi, D.: Shared reconfigurable architectures for cmps. In: International Conference on Field Programmable Logic and Applications, 2008. FPL 2008. pp. 299–304 (2008). doi:10.1109/FPL.2008.4629948
33.
Zurück zum Zitat Watkins, M.A., Albonesi, D.H.: Remap: A reconfigurable heterogeneous multicore architecture. In: Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO ’43, pp. 497–508. IEEE Computer Society, Washington, DC (2010). doi:http://dx.doi.org/10.1109/MICRO.2010.15. Watkins, M.A., Albonesi, D.H.: Remap: A reconfigurable heterogeneous multicore architecture. In: Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO ’43, pp. 497–508. IEEE Computer Society, Washington, DC (2010). doi:http://​dx.​doi.​org/​10.​1109/​MICRO.​2010.​15.
34.
Zurück zum Zitat Yan, L., Wu, B., Wen, Y., Zhang, S., Chen, T.: A reconfigurable processor architecture combining multi-core and reconfigurable processing unit. In: 2010 IEEE 10th international conference on computer and information technology (CIT), pp 2897–2902 (2010). doi:10.1109/CIT.2010.484 Yan, L., Wu, B., Wen, Y., Zhang, S., Chen, T.: A reconfigurable processor architecture combining multi-core and reconfigurable processing unit. In: 2010 IEEE 10th international conference on computer and information technology (CIT), pp 2897–2902 (2010). doi:10.1109/CIT.2010.484
Metadaten
Titel
Multicore Platforms: Processors, Communication and Memories
verfasst von
Mateus Beck Rutzig
Copyright-Jahr
2013
Verlag
Springer New York
DOI
https://doi.org/10.1007/978-1-4614-1746-0_8

Neuer Inhalt