Skip to main content

2019 | OriginalPaper | Buchkapitel

19. Multicore Power and Thermal Proxies Using Least-Angle Regression

verfasst von : Rupesh Raj Karn, Ibrahim (Abe) M. Elfadel

Erschienen in: Machine Learning in VLSI Computer-Aided Design

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

The use of performance counters (PCs) to develop per-core power and thermal proxies for multicore processors is now well established. These proxies are typically obtained using traditional linear regression techniques. These techniques have the disadvantage of requiring the full PC set regardless of the workload run by the multicore processor. Typically a computationally expensive principal component analysis is conducted to find the PCs most correlated with each workload. In this chapter, we use the more recent algorithm of least-angle regression to efficiently develop power and thermal proxies that include only PCs most relevant to the workload. Such PCs are considered workload signatures in the PC space and used to categorize the workload and to trigger specific power and thermal management action. Also, the workload signatures at both the core and the thread level are used to decide thread migration policies to maximize per-core utilization and reduce the number of active cores. Our new power and thermal proxies are trained and tested on workloads from the PARSEC and SPEC CPU 2006 benchmarks with an average error of less than 3%. Power, thermal, and performance-aware autoscaling policies are presented, and extensive numerical experiments are used to illustrate the advantages of our algorithm for real-time multicore power and performance management.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Fußnoten
1
LASSO stands for least absolute shrinkage and selection operator.
 
Literatur
1.
Zurück zum Zitat J.S. Lee, K. Skadron, S.W. Chung, Predictive temperature-aware DVFs. IEEE Trans. Comput. 59(1), 127–133 (2010)MathSciNetCrossRef J.S. Lee, K. Skadron, S.W. Chung, Predictive temperature-aware DVFs. IEEE Trans. Comput. 59(1), 127–133 (2010)MathSciNetCrossRef
2.
Zurück zum Zitat R. Kalla, B. Sinharoy, W.J. Starke, M. Floyd, Power7: IBM’s next-generation server processor. IEEE Micro 30(2), 7–15 (2010)CrossRef R. Kalla, B. Sinharoy, W.J. Starke, M. Floyd, Power7: IBM’s next-generation server processor. IEEE Micro 30(2), 7–15 (2010)CrossRef
3.
Zurück zum Zitat M. Floyd, M. Allen-Ware, K. Rajamani, B. Brock, C. Lefurgy, A.J. Drake, L. Pesantez, T. Gloekler, J.A. Tierno, P. Bose et al., Introducing the adaptive energy management features of the power7 chip. IEEE Micro 31(2), 60–75 (2011)CrossRef M. Floyd, M. Allen-Ware, K. Rajamani, B. Brock, C. Lefurgy, A.J. Drake, L. Pesantez, T. Gloekler, J.A. Tierno, P. Bose et al., Introducing the adaptive energy management features of the power7 chip. IEEE Micro 31(2), 60–75 (2011)CrossRef
4.
Zurück zum Zitat K. Kasichayanula, D. Terpstra, P. Luszczek, S. Tomov, S. Moore, G.D. Peterson, Power aware computing on GPUs, in 2012 Symposium on Application Accelerators in High Performance Computing (SAAHPC) (IEEE, Piscataway, 2012), pp. 64–73 K. Kasichayanula, D. Terpstra, P. Luszczek, S. Tomov, S. Moore, G.D. Peterson, Power aware computing on GPUs, in 2012 Symposium on Application Accelerators in High Performance Computing (SAAHPC) (IEEE, Piscataway, 2012), pp. 64–73
5.
Zurück zum Zitat A. Sîrbu, O. Babaoglu, Predicting system-level power for a hybrid supercomputer (2016). Preprint. arXiv:1605.09530 A. Sîrbu, O. Babaoglu, Predicting system-level power for a hybrid supercomputer (2016). Preprint. arXiv:1605.09530
6.
Zurück zum Zitat M. Yasin, A. Shahrour, I.M. Elfadel, Unified, ultra compact, quadratic power proxies for multi-core processors, in Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014 (IEEE, Piscataway, 2014), pp. 1–4 M. Yasin, A. Shahrour, I.M. Elfadel, Unified, ultra compact, quadratic power proxies for multi-core processors, in Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014 (IEEE, Piscataway, 2014), pp. 1–4
7.
Zurück zum Zitat C.-B. Cho, T. Li, Using wavelet domain workload execution characteristics to improve accuracy, scalability and robustness in program phase analysis, in IEEE International Symposium on Performance Analysis of Systems & Software, 2007. ISPASS 2007 (IEEE, Piscataway, 2007), pp. 136–145 C.-B. Cho, T. Li, Using wavelet domain workload execution characteristics to improve accuracy, scalability and robustness in program phase analysis, in IEEE International Symposium on Performance Analysis of Systems & Software, 2007. ISPASS 2007 (IEEE, Piscataway, 2007), pp. 136–145
8.
Zurück zum Zitat R. Sarikaya, C. Isci, A. Buyuktosunoglu, Runtime application behavior prediction using a statistical metric model. IEEE Trans. Comput. 62(3), 575–588 (2013)MathSciNetCrossRef R. Sarikaya, C. Isci, A. Buyuktosunoglu, Runtime application behavior prediction using a statistical metric model. IEEE Trans. Comput. 62(3), 575–588 (2013)MathSciNetCrossRef
9.
Zurück zum Zitat B. Efron, T. Hastie, I. Johnstone, R. Tibshirani et al., Least angle regression. Ann. Stat. 32(2), 407–499 (2004)MathSciNetCrossRef B. Efron, T. Hastie, I. Johnstone, R. Tibshirani et al., Least angle regression. Ann. Stat. 32(2), 407–499 (2004)MathSciNetCrossRef
10.
Zurück zum Zitat R.R. Karn, I.M. Elfadel, Extraction of thermal workload signatures in multicore processors using least angle regression, in 2015 International Conference on Communications, Signal Processing, and Their Applications (ICCSPA’15), Feb 2015, pp. 1–5 R.R. Karn, I.M. Elfadel, Extraction of thermal workload signatures in multicore processors using least angle regression, in 2015 International Conference on Communications, Signal Processing, and Their Applications (ICCSPA’15), Feb 2015, pp. 1–5
11.
Zurück zum Zitat R.R. Karn, I.M. Elfadel, Multicore power proxies using least-angle regression, in 2015 IEEE International Symposium on Circuits and Systems (ISCAS), May 2015, pp. 2872–2875 R.R. Karn, I.M. Elfadel, Multicore power proxies using least-angle regression, in 2015 IEEE International Symposium on Circuits and Systems (ISCAS), May 2015, pp. 2872–2875
12.
Zurück zum Zitat R.R. Karn, I.M. Elfadel, Autoscaling of cores in multicore processors using power and thermal workload signatures, in IEEE 59th International Midwest Symposium on Circuits and Systems (MWSCAS 2016), Oct 2016, pp. 1–4 R.R. Karn, I.M. Elfadel, Autoscaling of cores in multicore processors using power and thermal workload signatures, in IEEE 59th International Midwest Symposium on Circuits and Systems (MWSCAS 2016), Oct 2016, pp. 1–4
13.
Zurück zum Zitat T. Hastie, R. Tibshirani, J. Friedman, T. Hastie, J. Friedman, R. Tibshirani, The Elements of Statistical Learning, vol. 2, no. 1 (Springer, Berlin, 2009)CrossRef T. Hastie, R. Tibshirani, J. Friedman, T. Hastie, J. Friedman, R. Tibshirani, The Elements of Statistical Learning, vol. 2, no. 1 (Springer, Berlin, 2009)CrossRef
14.
Zurück zum Zitat J. Demmel, A. Gearhart, Instrumenting linear algebra energy consumption via on-chip energy counters. UC at Berkeley, Tech. Rep. UCB/EECS-2012-168 (2012) J. Demmel, A. Gearhart, Instrumenting linear algebra energy consumption via on-chip energy counters. UC at Berkeley, Tech. Rep. UCB/EECS-2012-168 (2012)
16.
Zurück zum Zitat M. Shafique, S. Garg, J. Henkel, D. Marculescu, The EDA challenges in the dark silicon era: temperature, reliability, and variability perspectives, in Proceedings of the 51st Annual Design Automation Conference (ACM, New York, 2014), pp. 1–6 M. Shafique, S. Garg, J. Henkel, D. Marculescu, The EDA challenges in the dark silicon era: temperature, reliability, and variability perspectives, in Proceedings of the 51st Annual Design Automation Conference (ACM, New York, 2014), pp. 1–6
17.
Zurück zum Zitat J. Henkel, H. Khdr, S. Pagani, M. Shafique, New trends in dark silicon, in Design Automation Conference (DAC), 2015 52nd ACM/EDAC/IEEE (IEEE, Piscataway, 2015), pp. 1–6 J. Henkel, H. Khdr, S. Pagani, M. Shafique, New trends in dark silicon, in Design Automation Conference (DAC), 2015 52nd ACM/EDAC/IEEE (IEEE, Piscataway, 2015), pp. 1–6
18.
Zurück zum Zitat M. Shafique, D. Gnad, S. Garg, J. Henkel, Variability-aware dark silicon management in on-chip many-core systems, in Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition. EDA Consortium (2015), pp. 387–392 M. Shafique, D. Gnad, S. Garg, J. Henkel, Variability-aware dark silicon management in on-chip many-core systems, in Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition. EDA Consortium (2015), pp. 387–392
19.
Zurück zum Zitat H. Khdr, S. Pagani, M. Shafique, J. Henkel, Thermal constrained resource management for mixed ILP-TLP workloads in dark silicon chips, in Proceedings of the 52nd Annual Design Automation Conference (ACM, New York, 2015), p. 179 H. Khdr, S. Pagani, M. Shafique, J. Henkel, Thermal constrained resource management for mixed ILP-TLP workloads in dark silicon chips, in Proceedings of the 52nd Annual Design Automation Conference (ACM, New York, 2015), p. 179
20.
Zurück zum Zitat I. Takouna, W. Dawoud, C. Meinel, Accurate multicore processor power models for power-aware resource management, in 2011 IEEE Ninth International Conference on Dependable, Autonomic and Secure Computing (DASC) (IEEE, Piscataway, 2011), pp. 419–426 I. Takouna, W. Dawoud, C. Meinel, Accurate multicore processor power models for power-aware resource management, in 2011 IEEE Ninth International Conference on Dependable, Autonomic and Secure Computing (DASC) (IEEE, Piscataway, 2011), pp. 419–426
21.
Zurück zum Zitat V.M. Weaver, Linux perf event features and overhead, in The 2nd International Workshop on Performance Analysis of Workload Optimized Systems, FastPath, 2013, p. 80 V.M. Weaver, Linux perf event features and overhead, in The 2nd International Workshop on Performance Analysis of Workload Optimized Systems, FastPath, 2013, p. 80
22.
Zurück zum Zitat H. Zhao, A. Sharifi, S. Srikantaiah, M. Kandemir, Feedback control based cache reliability enhancement for emerging multicores, in 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (IEEE, Piscataway, 2011), pp. 56–62 H. Zhao, A. Sharifi, S. Srikantaiah, M. Kandemir, Feedback control based cache reliability enhancement for emerging multicores, in 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (IEEE, Piscataway, 2011), pp. 56–62
23.
Zurück zum Zitat E. Seo, J. Jeong, S. Park, J. Lee, Energy efficient scheduling of real-time tasks on multicore processors. IEEE Trans. Parallel Distrib. Syst. 19(11), 1540–1552 (2008)CrossRef E. Seo, J. Jeong, S. Park, J. Lee, Energy efficient scheduling of real-time tasks on multicore processors. IEEE Trans. Parallel Distrib. Syst. 19(11), 1540–1552 (2008)CrossRef
24.
Zurück zum Zitat X. Guerin, W. Tan, Y. Liu, S. Seelam, P. Dube, Evaluation of multi-core scalability bottlenecks in enterprise java workloads, in 2012 IEEE 20th International Symposium on Modeling, Analysis & Simulation of Computer and Telecommunication Systems (MASCOTS) (IEEE, Piscataway, 2012), pp. 308–317 X. Guerin, W. Tan, Y. Liu, S. Seelam, P. Dube, Evaluation of multi-core scalability bottlenecks in enterprise java workloads, in 2012 IEEE 20th International Symposium on Modeling, Analysis & Simulation of Computer and Telecommunication Systems (MASCOTS) (IEEE, Piscataway, 2012), pp. 308–317
25.
Zurück zum Zitat K.K. Pusukuri, R. Gupta, L.N. Bhuyan, Thread reinforcer: dynamically determining number of threads via OS level monitoring, in IEEE International Symposium on Workload Characterization (IISWC), November 2011, pp. 116–125 K.K. Pusukuri, R. Gupta, L.N. Bhuyan, Thread reinforcer: dynamically determining number of threads via OS level monitoring, in IEEE International Symposium on Workload Characterization (IISWC), November 2011, pp. 116–125
26.
Zurück zum Zitat C. Bienia, S. Kumar, J.P. Singh, K. Li, The parsec benchmark suite: characterization and architectural implications, in Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques (ACM, New York, 2008), pp. 72–81 C. Bienia, S. Kumar, J.P. Singh, K. Li, The parsec benchmark suite: characterization and architectural implications, in Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques (ACM, New York, 2008), pp. 72–81
27.
Zurück zum Zitat J.L. Henning, Spec cpu2006 benchmark descriptions. ACM SIGARCH Comput. Archit. News 34(4), 1–17 (2006)CrossRef J.L. Henning, Spec cpu2006 benchmark descriptions. ACM SIGARCH Comput. Archit. News 34(4), 1–17 (2006)CrossRef
Metadaten
Titel
Multicore Power and Thermal Proxies Using Least-Angle Regression
verfasst von
Rupesh Raj Karn
Ibrahim (Abe) M. Elfadel
Copyright-Jahr
2019
DOI
https://doi.org/10.1007/978-3-030-04666-8_19

Neuer Inhalt