Skip to main content

2014 | OriginalPaper | Buchkapitel

5. NoC-Based System Integration

verfasst von : Konstantinos Tatas, Kostas Siozios, Dimitrios Soudris, Axel Jantsch

Erschienen in: Designing 2D and 3D Network-on-Chip Architectures

Verlag: Springer New York

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Integrating the NoC subsystem with the core in an MPSoC is hardly trivial. It requires the design of complex NIs as well as tackle the problem of clock distribution, which requires appropriate synchronizers if the system clock cannot be distributed without skew as is often the case. The synchronizers must be reliable and yet minimize additional latency. Moreover, careful floor-planning is required to achieve good performance. Finally, any multi- and many-core environment must also efficiently solve the problem of cache coherence and therefore the NoC must support and even facilitate cache coherence mechanisms.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat F. Angiolini, P. Meloni, S. Carta, L. Benini, L. Raffo, Contrasting a NoC and a traditional interconnect fabric with layout awareness, in Design, Automation and Test in Europe, 2006, pp. 1–6 F. Angiolini, P. Meloni, S. Carta, L. Benini, L. Raffo, Contrasting a NoC and a traditional interconnect fabric with layout awareness, in Design, Automation and Test in Europe, 2006, pp. 1–6
4.
Zurück zum Zitat B. Attia, W. Chouchene, A. Zitouni, R. Tourki, Network interface sharing for SoCs based NoC, in International Conference on Communications, Computing and Control Applications, 2011, pp. 1–6 B. Attia, W. Chouchene, A. Zitouni, R. Tourki, Network interface sharing for SoCs based NoC, in International Conference on Communications, Computing and Control Applications, 2011, pp. 1–6
5.
Zurück zum Zitat P. Bhojwani, R. Mahapatra, Interfacing cores with on-chip packet-switched networks, in International Conference on, VLSI Design, 2003, pp. 382–387 P. Bhojwani, R. Mahapatra, Interfacing cores with on-chip packet-switched networks, in International Conference on, VLSI Design, 2003, pp. 382–387
6.
Zurück zum Zitat T. Bjerregaard, J. Sparso, Implementation of guaranteed services in the MANGO clockless network-on-chip. IEE Proc. Comput. Digital Tech. 153(4), 217–229 (2006) T. Bjerregaard, J. Sparso, Implementation of guaranteed services in the MANGO clockless network-on-chip. IEE Proc. Comput. Digital Tech. 153(4), 217–229 (2006)
7.
Zurück zum Zitat T. Chaves, E. Carara, F. Moraes, Exploiting multicast messages in cache-coherence protocols for NoC-based MPSoCs, in International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2011, pp. 1–6 T. Chaves, E. Carara, F. Moraes, Exploiting multicast messages in cache-coherence protocols for NoC-based MPSoCs, in International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2011, pp. 1–6
8.
Zurück zum Zitat W. Chouchene, B. Attia, A. Zitouni, N. Abid, R. Tourki, A low power network interface for network on chip, in International Multi-Conference on Systems, Signals and Devices, 2011, pp. 1–6 W. Chouchene, B. Attia, A. Zitouni, N. Abid, R. Tourki, A low power network interface for network on chip, in International Multi-Conference on Systems, Signals and Devices, 2011, pp. 1–6
9.
Zurück zum Zitat K. Daewook, K. Manho, G. Sobelman, DCOS: cache embedded switch architecture for distributed shared memory multiprocessor SoCs, in International Symposium on Circuits and Systems, 2006, p. 4 K. Daewook, K. Manho, G. Sobelman, DCOS: cache embedded switch architecture for distributed shared memory multiprocessor SoCs, in International Symposium on Circuits and Systems, 2006, p. 4
10.
Zurück zum Zitat W. Dally, J. Poulton, Digital Systems Engineering (Cambridge university press, New York, 1998) W. Dally, J. Poulton, Digital Systems Engineering (Cambridge university press, New York, 1998)
11.
Zurück zum Zitat V. de Paulo,C. Ababei, A framework for 2.5D NoC exploration using homogeneous networks over heterogeneous floorplans, in International Conference on Reconfigurable Computing and FPGAs, 2009, pp. 267–272 V. de Paulo,C. Ababei, A framework for 2.5D NoC exploration using homogeneous networks over heterogeneous floorplans, in International Conference on Reconfigurable Computing and FPGAs, 2009, pp. 267–272
12.
Zurück zum Zitat G. DeMicheli, L. Benini, Networks on Chips: Technology and Tools (Morgan Kaufmann, Waltham, 2006) G. DeMicheli, L. Benini, Networks on Chips: Technology and Tools (Morgan Kaufmann, Waltham, 2006)
13.
Zurück zum Zitat N. Eisley, P. Li-Shiuan and S. Li, In-network cache coherence, in International Symposium on Microarchitecture, 2006, pp. 321–332 N. Eisley, P. Li-Shiuan and S. Li, In-network cache coherence, in International Symposium on Microarchitecture, 2006, pp. 321–332
14.
Zurück zum Zitat A. Ferrante, S. Medardoni and D. Bertozzi, Network interface sharing techniques for area optimized NoC architectures, in EUROMICRO Conference on Digital System Design Architectures, Methods and Tools, 2008, pp. 10–17 A. Ferrante, S. Medardoni and D. Bertozzi, Network interface sharing techniques for area optimized NoC architectures, in EUROMICRO Conference on Digital System Design Architectures, Methods and Tools, 2008, pp. 10–17
15.
Zurück zum Zitat R. Ginosar, IEEE Des. Test Comput. Metastability and synchronizers: a tutorial. 28(5), 23–35 (2011) R. Ginosar, IEEE Des. Test Comput. Metastability and synchronizers: a tutorial. 28(5), 23–35 (2011)
16.
Zurück zum Zitat G. Girao, B.C. de Oliveira, R. Soares, I. Saraiva Silva, Cache coherency communication cost in a NoC-based MPSoC platform, in Annual conference on Integrated circuits and systems design, 2007, pp. 288–293 G. Girao, B.C. de Oliveira, R. Soares, I. Saraiva Silva, Cache coherency communication cost in a NoC-based MPSoC platform, in Annual conference on Integrated circuits and systems design, 2007, pp. 288–293
17.
Zurück zum Zitat K. Goossens, J. Dielisse, A. Radulescu, AEthereal network on chip: Concepts, architectures, and implementations. IEEE Des. Test Comput. 22(5), 21–31 (2005) K. Goossens, J. Dielisse, A. Radulescu, AEthereal network on chip: Concepts, architectures, and implementations. IEEE Des. Test Comput. 22(5), 21–31 (2005)
20.
Zurück zum Zitat A. Jantsch, System modeling, models of computation and their applications, Compendium for the KTH course 2B1429, 2001 A. Jantsch, System modeling, models of computation and their applications, Compendium for the KTH course 2B1429, 2001
21.
Zurück zum Zitat M. Keating, p Bricaud, Reuse Methodology Manual for System-on-a-Chip Designs (Springer, New York, 2007) M. Keating, p Bricaud, Reuse Methodology Manual for System-on-a-Chip Designs (Springer, New York, 2007)
22.
Zurück zum Zitat K. Keutzer, A. Newton, J. Rabaey, A. Sangiovanni-Vincentelli, System-level design: orthogonalization of concerns and platform-based design. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(12), 1523–1543 (2000) K. Keutzer, A. Newton, J. Rabaey, A. Sangiovanni-Vincentelli, System-level design: orthogonalization of concerns and platform-based design. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(12), 1523–1543 (2000)
23.
Zurück zum Zitat Y. Lai, S. Yang, M. Sheu, Y. Hwang, H. Tang, P. Huang, A high-speed network interface design for packet-based NoC, in International Conference on Communications, Circuits and Systems, 2006, pp. 2667–2671 Y. Lai, S. Yang, M. Sheu, Y. Hwang, H. Tang, P. Huang, A high-speed network interface design for packet-based NoC, in International Conference on Communications, Circuits and Systems, 2006, pp. 2667–2671
24.
Zurück zum Zitat J.-J. Lecler, G. Baillieu, Application driven network-on-chip architecture exploration & refinement for a complex SoC. Des. Autom. Embed. Syst. 15(2), 133–158 (2011) J.-J. Lecler, G. Baillieu, Application driven network-on-chip architecture exploration & refinement for a complex SoC. Des. Autom. Embed. Syst. 15(2), 133–158 (2011)
25.
Zurück zum Zitat M. Mano, M. Ciletti, Digital Design (Prentice Hall, Englewood Cliffs, 2006) M. Mano, M. Ciletti, Digital Design (Prentice Hall, Englewood Cliffs, 2006)
26.
Zurück zum Zitat S. Murali, P. Meloni, F. Angiolini, D. Atienza, S. Carta, L. Benini, G. De Micheli, L. Raffo, Designing application-specific networks on chips with floorplan information, in International Conference on Computer-Aided Design, 2006, pp. 355–362 S. Murali, P. Meloni, F. Angiolini, D. Atienza, S. Carta, L. Benini, G. De Micheli, L. Raffo, Designing application-specific networks on chips with floorplan information, in International Conference on Computer-Aided Design, 2006, pp. 355–362
28.
Zurück zum Zitat M. Papamarcos, J. Patel, A low-overhead coherence solution for multiprocessors with private cache memories, in International Symposium on Computer, Architecture, 1984, pp. 348–354 M. Papamarcos, J. Patel, A low-overhead coherence solution for multiprocessors with private cache memories, in International Symposium on Computer, Architecture, 1984, pp. 348–354
29.
Zurück zum Zitat M. Rose, The Open Book: A Practical Perspective on OSI (Prentice Hall, Englewood Cliffs, 1990) M. Rose, The Open Book: A Practical Perspective on OSI (Prentice Hall, Englewood Cliffs, 1990)
30.
Zurück zum Zitat M. Sgroi, M. Sheets, A. Mihal, K. Keutzer, S. Malik, J. Rabaey, A. Sangiovanni-Vincentelli, Addressing the system-on-a-chip interconnect woes through communication-based design, in Design Automation Conference, 2001, pp. 667–672 M. Sgroi, M. Sheets, A. Mihal, K. Keutzer, S. Malik, J. Rabaey, A. Sangiovanni-Vincentelli, Addressing the system-on-a-chip interconnect woes through communication-based design, in Design Automation Conference, 2001, pp. 667–672
31.
Zurück zum Zitat F. Steenhof, H. Duque, B. Nilsson, K. Goossens, R. Llopis, Networks on chips for high-end consumer-electronics TV system architectures, in Design, Automation and Test in Europe, 2006, pp. 1–6 F. Steenhof, H. Duque, B. Nilsson, K. Goossens, R. Llopis, Networks on chips for high-end consumer-electronics TV system architectures, in Design, Automation and Test in Europe, 2006, pp. 1–6
32.
Zurück zum Zitat M. Tang, X. Lin, Injection level flow control for network-on-chip (NoC). J. Inf. Sci. Eng. 27, 527–544 (2011) M. Tang, X. Lin, Injection level flow control for network-on-chip (NoC). J. Inf. Sci. Eng. 27, 527–544 (2011)
33.
Zurück zum Zitat T. Tayachi, P. Martinez, Integration of an STBus type 3 protocol custom component into a HLS tool, in International Conference on Design and Technology of Integrated Systems in Nanoscale Era, 2008, pp. 1–4 T. Tayachi, P. Martinez, Integration of an STBus type 3 protocol custom component into a HLS tool, in International Conference on Design and Technology of Integrated Systems in Nanoscale Era, 2008, pp. 1–4
35.
Zurück zum Zitat F. Vitullo, N. L’Insalata, E. Petri, L. Fanucci, M. Casula, R. Locatelli, M. Coppola, Low-complexity link microarchitecture for mesochronous communication in networks-on-chip. IEEE Trans. Comput. 57(9), 1196–1201 (2008) F. Vitullo, N. L’Insalata, E. Petri, L. Fanucci, M. Casula, R. Locatelli, M. Coppola, Low-complexity link microarchitecture for mesochronous communication in networks-on-chip. IEEE Trans. Comput. 57(9), 1196–1201 (2008)
36.
Zurück zum Zitat I. Walter, I. Cidon, A. Kolodny, ENoC: a bus-enhanced network on-chip for a power efficient CMP. Comput. Archit. Lett. 7(2), 61–64 (2008) I. Walter, I. Cidon, A. Kolodny, ENoC: a bus-enhanced network on-chip for a power efficient CMP. Comput. Archit. Lett. 7(2), 61–64 (2008)
37.
Zurück zum Zitat B. Yu, S. Dong, S. Chen, S. Goto, Floorplanning and topology generation for application-specific network-on-chip, in Asia and South Pacific Design Automation Conference, 2010, pp. 535–540 B. Yu, S. Dong, S. Chen, S. Goto, Floorplanning and topology generation for application-specific network-on-chip, in Asia and South Pacific Design Automation Conference, 2010, pp. 535–540
Metadaten
Titel
NoC-Based System Integration
verfasst von
Konstantinos Tatas
Kostas Siozios
Dimitrios Soudris
Axel Jantsch
Copyright-Jahr
2014
Verlag
Springer New York
DOI
https://doi.org/10.1007/978-1-4614-4274-5_5

Neuer Inhalt