Skip to main content

2014 | OriginalPaper | Buchkapitel

2. NoC Modeling and Topology Exploration

verfasst von : Konstantinos Tatas, Kostas Siozios, Dimitrios Soudris, Axel Jantsch

Erschienen in: Designing 2D and 3D Network-on-Chip Architectures

Verlag: Springer New York

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

This chapter describes two of the most important tasks for designing NoC-based systems dealing with NoC modeling, as well as the topology exploration. For this purpose, state-of-the-art architectural solutions are discussed and open research topics are highlighted. Additionally, this chapter provides a description of alternative traffic models used as input to the NoC domain for evaluating the efficiency of various architectural parameters. The last topics discussed in this chapter are topology synthesis and application mapping onto the derived NoC architecture under various constraints.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat R. Thid, M. Millberg, A. Jantsch, Evaluating NoC communication backbones with simulation, in IEEE NorChip Conference, pp. 27–30, 2003 R. Thid, M. Millberg, A. Jantsch, Evaluating NoC communication backbones with simulation, in IEEE NorChip Conference, pp. 27–30, 2003
2.
Zurück zum Zitat D. Wiklund, L. Dake Liu, SoCBUS: switched network on chip for hard real time embedded systems, in Parallel and Distributed Processing Symposium, p. 8, April 2003 D. Wiklund, L. Dake Liu, SoCBUS: switched network on chip for hard real time embedded systems, in Parallel and Distributed Processing Symposium, p. 8, April 2003
3.
Zurück zum Zitat I. Saastamoinen, M. Alho, J. Nurmi, Buffer implementation for Proteo network-on-chip. Int. Proc. Circuits Syst. 2, 113–116 (May 2003) I. Saastamoinen, M. Alho, J. Nurmi, Buffer implementation for Proteo network-on-chip. Int. Proc. Circuits Syst. 2, 113–116 (May 2003)
4.
Zurück zum Zitat F. Karim A. Nguyen, S. Dey, An interconnect architecture for networking systems on chips. IEEE J. Micro High Perform. Interconnect 22(5), 36–45 (2002) F. Karim A. Nguyen, S. Dey, An interconnect architecture for networking systems on chips. IEEE J. Micro High Perform. Interconnect 22(5), 36–45 (2002)
5.
Zurück zum Zitat S. Kumar, A. Jantsch, J.-P. Soininen, M. Forsell, M. Millberg, J. Oberg, K. Tiensyrja, A. Hemani, A network on chip architecture and design methodology, in IEEE Computer, pp. 117–124, 2002 S. Kumar, A. Jantsch, J.-P. Soininen, M. Forsell, M. Millberg, J. Oberg, K. Tiensyrja, A. Hemani, A network on chip architecture and design methodology, in IEEE Computer, pp. 117–124, 2002
6.
Zurück zum Zitat W.J. Dally, B. Towles, Principles and practices of interconnection networks. (Morgan Kaufmann, San Francisco, 2004) W.J. Dally, B. Towles, Principles and practices of interconnection networks. (Morgan Kaufmann, San Francisco, 2004)
7.
Zurück zum Zitat Y. Xu, Y. Du, B. Zhao, X. Zhou, Y. Zhang, J. Yang, A low-radix and low-diameter 3D interconnection network design, in International Symposium on High Performance Computer Architecture (HPCA), pp. 30–42, Feb. 2009 Y. Xu, Y. Du, B. Zhao, X. Zhou, Y. Zhang, J. Yang, A low-radix and low-diameter 3D interconnection network design, in International Symposium on High Performance Computer Architecture (HPCA), pp. 30–42, Feb. 2009
8.
Zurück zum Zitat A. Weldezion, M. Grange, D. Pamunuwa, L. Zhonghai, A. Jantsch, R. Weerasekera, H. Tenhunen, Scalability of network-on-chip communication architecture for 3-D meshes in International Symposium on Networks-on-Chip (NoCS), pp. 114–123, May 2009 A. Weldezion, M. Grange, D. Pamunuwa, L. Zhonghai, A. Jantsch, R. Weerasekera, H. Tenhunen, Scalability of network-on-chip communication architecture for 3-D meshes in International Symposium on Networks-on-Chip (NoCS), pp. 114–123, May 2009
12.
Zurück zum Zitat K. Puttaswamy, G.H. Loh, Thermal analysis of a 3D die-stacked high-performance microprocessor, in ACM Great Lakes Symposium on VLSI (GLSVLSI), pp. 19–24, 2006 K. Puttaswamy, G.H. Loh, Thermal analysis of a 3D die-stacked high-performance microprocessor, in ACM Great Lakes Symposium on VLSI (GLSVLSI), pp. 19–24, 2006
13.
Zurück zum Zitat A. Bartzas, L. Papadopoulos, D. Soudris, A system-level design methodology for application-specific networks-on-chip. J. Embed. Comput. 3(3), 167–177 (2009) A. Bartzas, L. Papadopoulos, D. Soudris, A system-level design methodology for application-specific networks-on-chip. J. Embed. Comput. 3(3), 167–177 (2009)
14.
Zurück zum Zitat H. Hua, C. Mineo, K. Schoeniess, A. Sule, S. Melamed, R. Jenkal, W. Rhett Davis, Exploring compromises among timing, power and temperature in three-dimensional integrated circuits, in Annual Conference on Design Automation (DAC), pp. 997–1002, 2006 H. Hua, C. Mineo, K. Schoeniess, A. Sule, S. Melamed, R. Jenkal, W. Rhett Davis, Exploring compromises among timing, power and temperature in three-dimensional integrated circuits, in Annual Conference on Design Automation (DAC), pp. 997–1002, 2006
15.
Zurück zum Zitat V. Soteriou, H. Wang, L.S. Peh, A statistical traffic model for on-chip interconnection networks, in Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), pp. 104–116, 2006 V. Soteriou, H. Wang, L.S. Peh, A statistical traffic model for on-chip interconnection networks, in Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), pp. 104–116, 2006
16.
Zurück zum Zitat R. Widyono, The design and evaluation of routing algorithms for real-time channels. TR-94-024, University of California at Berkeley and International Computer Science Institute (1994) R. Widyono, The design and evaluation of routing algorithms for real-time channels. TR-94-024, University of California at Berkeley and International Computer Science Institute (1994)
17.
Zurück zum Zitat G. Brebner, D. Levi, Networking on chip with platform fpgas, Field-Programmable Technology (FPT), pp. 13–20 (2003) G. Brebner, D. Levi, Networking on chip with platform fpgas, Field-Programmable Technology (FPT), pp. 13–20 (2003)
18.
Zurück zum Zitat Z. Lu, A. Jantsch, Flit ejection in on-chip wormhole-switched networks with virtual channels, in IEEE Norchip Conference, pp. 273–276, Nov 2004 Z. Lu, A. Jantsch, Flit ejection in on-chip wormhole-switched networks with virtual channels, in IEEE Norchip Conference, pp. 273–276, Nov 2004
19.
Zurück zum Zitat N. Genko, D. Atienza, G. De Micheli, L. Benini, J.M. Mendias, R. Hermida, F. Catthoor, A novel approach for network on chip emulation’, in International Symposium on Circuits and Systems (ISCAS), pp. 2365–2368, 2005 N. Genko, D. Atienza, G. De Micheli, L. Benini, J.M. Mendias, R. Hermida, F. Catthoor, A novel approach for network on chip emulation’, in International Symposium on Circuits and Systems (ISCAS), pp. 2365–2368, 2005
20.
Zurück zum Zitat R. Thid, I. Sander, A. Jantsch, Flexible bus and NoC performance analysis with configurable synthetic workloads, Digital System Design: Architectures, Methods and Tools (DSD), pp. 681–688(2006) R. Thid, I. Sander, A. Jantsch, Flexible bus and NoC performance analysis with configurable synthetic workloads, Digital System Design: Architectures, Methods and Tools (DSD), pp. 681–688(2006)
21.
Zurück zum Zitat W. Dong, B. Al-Hashimi, M. Schmitz, Improving routing efficiency for network-on-chip through contention-aware input selection, inAsia and South Pacific Conference on Design Automation (ASP-DAC), pp. 36–41, 2006 W. Dong, B. Al-Hashimi, M. Schmitz, Improving routing efficiency for network-on-chip through contention-aware input selection, inAsia and South Pacific Conference on Design Automation (ASP-DAC), pp. 36–41, 2006
22.
Zurück zum Zitat S. Woo, M. Ohara, E. Torrie, J.P. Singh, A. Gupta, The Splash-2 Programs: characterization and methodological considerations, International Symposium on Computer, Architecture, pp. 24–36 (1995) S. Woo, M. Ohara, E. Torrie, J.P. Singh, A. Gupta, The Splash-2 Programs: characterization and methodological considerations, International Symposium on Computer, Architecture, pp. 24–36 (1995)
23.
Zurück zum Zitat L. Chunho, M. Potkonjak, W. Mangione-Smith, Mediabench: a tool for evaluating and synthesizing multimedia and communications systems, International Symposium on Microarchitecture, pp. 330–335 (1997) L. Chunho, M. Potkonjak, W. Mangione-Smith, Mediabench: a tool for evaluating and synthesizing multimedia and communications systems, International Symposium on Microarchitecture, pp. 330–335 (1997)
25.
Zurück zum Zitat T. Bjerregaard, S. Mahadevan, A survey of research and practices of network-on-chip. ACM Comput. Surv. 38(1), 1–51 (2006) (Article 1) T. Bjerregaard, S. Mahadevan, A survey of research and practices of network-on-chip. ACM Comput. Surv. 38(1), 1–51 (2006) (Article 1)
26.
Zurück zum Zitat J. Madsen, S. Mahadevan, K. Virk, M. Gonzalez, Network-on-chip modeling for system-level multiprocessor simulation, in International Real-Time Systems Symposium (RTSS), pp. 82–92 (2003) J. Madsen, S. Mahadevan, K. Virk, M. Gonzalez, Network-on-chip modeling for system-level multiprocessor simulation, in International Real-Time Systems Symposium (RTSS), pp. 82–92 (2003)
27.
Zurück zum Zitat S. Mahadevan, M. Storgaard, J. Madsen, K. Virk, ARTS: a system-level framework for modeling MPSoC components and analysis of their causality, in International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), pp. 480–483 (2005) S. Mahadevan, M. Storgaard, J. Madsen, K. Virk, ARTS: a system-level framework for modeling MPSoC components and analysis of their causality, in International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), pp. 480–483 (2005)
28.
Zurück zum Zitat J. Xu, W. Wolf, J. Henkel, S. Chakradhar, A methodology for design, modeling, and analysis of networks-on-chip, in International Symposium on Circuits and Systems (ISCAS), pp. 1778–1781 (2005) J. Xu, W. Wolf, J. Henkel, S. Chakradhar, A methodology for design, modeling, and analysis of networks-on-chip, in International Symposium on Circuits and Systems (ISCAS), pp. 1778–1781 (2005)
29.
Zurück zum Zitat E. Bolotin, I. Cidon, R. Ginosaur, A. Kolondy, QNoC: QoS architecture and design process for network-on-chip. J. Syst. Archit. 50(2–3), 105–128 (Feb. 2004)CrossRef E. Bolotin, I. Cidon, R. Ginosaur, A. Kolondy, QNoC: QoS architecture and design process for network-on-chip. J. Syst. Archit. 50(2–3), 105–128 (Feb. 2004)CrossRef
30.
Zurück zum Zitat P. Pande, C. Grecu, M. Jones, A. Ivanov, R. Saleh, Effect of traffic localization on energy dissipation in NoC-based interconnect, in International Symposium on Circuits and Systems, pp. 1774–1777 (2005) P. Pande, C. Grecu, M. Jones, A. Ivanov, R. Saleh, Effect of traffic localization on energy dissipation in NoC-based interconnect, in International Symposium on Circuits and Systems, pp. 1774–1777 (2005)
31.
Zurück zum Zitat N. Banerjee, P. Vellanki, K. Chatha, A power and performance model for network-on-chip architectures, in Proceeding of Design, Automation and Testing in Europe Conference (DATE), pp. 1250–1255 (2004) N. Banerjee, P. Vellanki, K. Chatha, A power and performance model for network-on-chip architectures, in Proceeding of Design, Automation and Testing in Europe Conference (DATE), pp. 1250–1255 (2004)
32.
Zurück zum Zitat H.-S. Wang, X. Zhu, L.-S. Peh, S. Malik, Orion: a power-performance simulator for interconnection networks, in International Symposium on Microarchitecture, pp. 294–305 (2002) H.-S. Wang, X. Zhu, L.-S. Peh, S. Malik, Orion: a power-performance simulator for interconnection networks, in International Symposium on Microarchitecture, pp. 294–305 (2002)
34.
Zurück zum Zitat T. Fitzpatrick, System verilog for VHDL users, in Proceeding of Design, Automation and Testing in Europe Conference (DATE), pp. 1530–1591 ( 2004) T. Fitzpatrick, System verilog for VHDL users, in Proceeding of Design, Automation and Testing in Europe Conference (DATE), pp. 1530–1591 ( 2004)
35.
Zurück zum Zitat M. Coppola, S. Curaba, M. Grammatikakis, R. Locatelli, G. Maruccia, F. Papariello, OCCN: a NoC modeling framework for design exploration. J. Syst. Archit. 50(23), 129–163 (Feb. 2004)CrossRef M. Coppola, S. Curaba, M. Grammatikakis, R. Locatelli, G. Maruccia, F. Papariello, OCCN: a NoC modeling framework for design exploration. J. Syst. Archit. 50(23), 129–163 (Feb. 2004)CrossRef
36.
Zurück zum Zitat B. Juurlink, H. Andwijshoff, A quantitative comparison of parallel computation models. ACM Trans. Comput. Syst. (TOCS) 16(3), 271–318 (Aug. 1998)CrossRef B. Juurlink, H. Andwijshoff, A quantitative comparison of parallel computation models. ACM Trans. Comput. Syst. (TOCS) 16(3), 271–318 (Aug. 1998)CrossRef
37.
Zurück zum Zitat R. Vaidya, A. Sivasubramaniam, C. Das, Impact of virtual channels and adaptive routing on application performance. IEEE Trans. Parallel Distrib. Syst. 12(2), 223–237 (Feb. 2001)CrossRef R. Vaidya, A. Sivasubramaniam, C. Das, Impact of virtual channels and adaptive routing on application performance. IEEE Trans. Parallel Distrib. Syst. 12(2), 223–237 (Feb. 2001)CrossRef
38.
Zurück zum Zitat T. Bjerregaard, S. Mahadevan, J. Spars, A channel library for asynchronous circuit design supporting mixed-mode modeling, in International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), pp. 301–310 (2004) T. Bjerregaard, S. Mahadevan, J. Spars, A channel library for asynchronous circuit design supporting mixed-mode modeling, in International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), pp. 301–310 (2004)
39.
Zurück zum Zitat G. Leary, K. Srinivasan, K. Mehta, K. Chatha, Design of network-on-chip architectures with a genetic algorithm-based technique. IEEE Trans. Very Large Scale Integr. (VLSI) 17(5), 674–687 (2009)CrossRef G. Leary, K. Srinivasan, K. Mehta, K. Chatha, Design of network-on-chip architectures with a genetic algorithm-based technique. IEEE Trans. Very Large Scale Integr. (VLSI) 17(5), 674–687 (2009)CrossRef
40.
Zurück zum Zitat D. Siguenza-Tortosa, J. Nurmi, Vhdl-based simulation environment for proteo noc, in High-Level Design Validation and Test Workshop, pp. 1–6 ( 2002) D. Siguenza-Tortosa, J. Nurmi, Vhdl-based simulation environment for proteo noc, in High-Level Design Validation and Test Workshop, pp. 1–6 ( 2002)
41.
Zurück zum Zitat A. Pinto, L.P. Carloni, A.L. Sangiovanni Vincentelli, A methodology for constraint-driven synthesis of on-chip communications. IEEE Trans. Comput Aided Des. Integr. Circ. Syst. 28(3), 364–377 (March 2009)CrossRef A. Pinto, L.P. Carloni, A.L. Sangiovanni Vincentelli, A methodology for constraint-driven synthesis of on-chip communications. IEEE Trans. Comput Aided Des. Integr. Circ. Syst. 28(3), 364–377 (March 2009)CrossRef
42.
Zurück zum Zitat V. Puente, J.A. Gregorio, R. Beivide, SICOSYS: an integrated framework for studying interconnection network performance in multiprocessor systems, in<error l="74" c="Undefined command " />Proceeding of of Euromicro Workshop on Parallel, Distributed and Network-based Processing, pp. 15–22 (2002) V. Puente, J.A. Gregorio, R. Beivide, SICOSYS: an integrated framework for studying interconnection network performance in multiprocessor systems, in<error l="74" c="Undefined command " />Proceeding of of Euromicro Workshop on Parallel, Distributed and Network-based Processing, pp. 15–22 (2002)
43.
Zurück zum Zitat L. Se-Joong, S. Seong-Jun, L. Kangmin, W. Jeong-Ho, K. Sung-Eun, N. Byeong-Gyu, Y. Nam, An 800MHz star-connected on-chip network for application to systems on a chip, in Solid-State Circuits Conference, pp. 468–469 (2003) L. Se-Joong, S. Seong-Jun, L. Kangmin, W. Jeong-Ho, K. Sung-Eun, N. Byeong-Gyu, Y. Nam, An 800MHz star-connected on-chip network for application to systems on a chip, in Solid-State Circuits Conference, pp. 468–469 (2003)
44.
Zurück zum Zitat A. Jalabert, S. Murali, L. Benini, G. De Micheli, xpipesCompiler: a tool for instantiating application specific Networks on Chips, in Proceeding of the Design and Test Europe Conference (DATE), pp. 884–889 (2004) A. Jalabert, S. Murali, L. Benini, G. De Micheli, xpipesCompiler: a tool for instantiating application specific Networks on Chips, in Proceeding of the Design and Test Europe Conference (DATE), pp. 884–889 (2004)
45.
Zurück zum Zitat L. Kangmin, L. Se-Joong, K. Sung-Eun, C. Hye-Mi, K. Donghyun, K. Sunyoung, L. Min-Wuk, Y. Hoi-Jun, A 51mW 1.6GHz on-chip network for low-power heterogeneous SoC platform, in Solid-State Circuits Conference, pp. 152–518 (2004) L. Kangmin, L. Se-Joong, K. Sung-Eun, C. Hye-Mi, K. Donghyun, K. Sunyoung, L. Min-Wuk, Y. Hoi-Jun, A 51mW 1.6GHz on-chip network for low-power heterogeneous SoC platform, in Solid-State Circuits Conference, pp. 152–518 (2004)
46.
Zurück zum Zitat I. Loi, F. Angiolini, L. Benini, Supporting vertical links for 3D networks on chip: toward an automated design and analysis flow, in Proceeding of the International Conference on Nano-Networks (Nano-Net), Article 15 (2007) I. Loi, F. Angiolini, L. Benini, Supporting vertical links for 3D networks on chip: toward an automated design and analysis flow, in Proceeding of the International Conference on Nano-Networks (Nano-Net), Article 15 (2007)
47.
Zurück zum Zitat S. Yan, B. Lin, Design of application-specific 3D networks-on-chip architectures, in Proceeding of the International Conference on Computer Design (ICCD), pp. 142–149 (2008) S. Yan, B. Lin, Design of application-specific 3D networks-on-chip architectures, in Proceeding of the International Conference on Computer Design (ICCD), pp. 142–149 (2008)
48.
Zurück zum Zitat Ge-Ming Chiu, The odd-even turn model for adaptive routing. IEEE Trans. Parallel Distrib. Syst. 11(7), 729–738 (July 2000)CrossRef Ge-Ming Chiu, The odd-even turn model for adaptive routing. IEEE Trans. Parallel Distrib. Syst. 11(7), 729–738 (July 2000)CrossRef
49.
Zurück zum Zitat S. Murali, G. De Micheli, Bandwidth constrained mapping of cores on to NoC architectures, in Proceeding of the Design and Test Europe Conference (DATE), pp. 884–889 (2004) S. Murali, G. De Micheli, Bandwidth constrained mapping of cores on to NoC architectures, in Proceeding of the Design and Test Europe Conference (DATE), pp. 884–889 (2004)
50.
Zurück zum Zitat I. Walter, I. Cidon, A. Kolodny, D. Sigalov, The era of many-modules SoC: revisiting the NoC mapping problem, in Proceeding of the International Workshop on Network on Chip Architectures (NoCArc), pp. 43–48 (2009) I. Walter, I. Cidon, A. Kolodny, D. Sigalov, The era of many-modules SoC: revisiting the NoC mapping problem, in Proceeding of the International Workshop on Network on Chip Architectures (NoCArc), pp. 43–48 (2009)
51.
Zurück zum Zitat J. Kim, C. Nicopoulos, D. Park, R. Das, Y. Xie, V. Narayanan, M. Yousif, C. Das, A novel dimensionally-decomposed router for on-chip communication in 3D architectures, in International Symposium on Computer Architecture (ISCA), pp. 138–149 (2007) J. Kim, C. Nicopoulos, D. Park, R. Das, Y. Xie, V. Narayanan, M. Yousif, C. Das, A novel dimensionally-decomposed router for on-chip communication in 3D architectures, in International Symposium on Computer Architecture (ISCA), pp. 138–149 (2007)
52.
Zurück zum Zitat T.M. Pinkston, R. Pang, J. Duato, Deadlock-free dynamic reconfiguration schemes for increased network dependability. IEEE Trans. Parallel Distrib. Syst. 14(8), 780–794 (Aug. 2003)CrossRef T.M. Pinkston, R. Pang, J. Duato, Deadlock-free dynamic reconfiguration schemes for increased network dependability. IEEE Trans. Parallel Distrib. Syst. 14(8), 780–794 (Aug. 2003)CrossRef
53.
Zurück zum Zitat S. Murali, G. De Micheli, SUNMAP: A tool for automatic topology selection and generation for NoCs, in Proceeding of the Annual Design Automation Conference (DAC), pp. 914–919 (2004) S. Murali, G. De Micheli, SUNMAP: A tool for automatic topology selection and generation for NoCs, in Proceeding of the Annual Design Automation Conference (DAC), pp. 914–919 (2004)
54.
Zurück zum Zitat T. Ahonen et al, Topology optimization for application specific networks on chip, in Proceeding of the International Workshop on System Level Interconnect Prediction (SLIP), pp. 53–60 (2004) T. Ahonen et al, Topology optimization for application specific networks on chip, in Proceeding of the International Workshop on System Level Interconnect Prediction (SLIP), pp. 53–60 (2004)
55.
Zurück zum Zitat U. Orgas, R. Marculescu, Energy- and performance-driven NoC communication architecture synthesis using a decomposition approach, in Proceeding of the Design Automation and Test in Europe (DATE), pp. 352–357 (2005) U. Orgas, R. Marculescu, Energy- and performance-driven NoC communication architecture synthesis using a decomposition approach, in Proceeding of the Design Automation and Test in Europe (DATE), pp. 352–357 (2005)
56.
Zurück zum Zitat J. Hu, R. Marculescu, Energy-aware mapping for tile-based NOC architectures under performance constraints, in Asia and Sourth Pacific Design Automation Conference (ASP-DAC), pp. 233–239 (2003) J. Hu, R. Marculescu, Energy-aware mapping for tile-based NOC architectures under performance constraints, in Asia and Sourth Pacific Design Automation Conference (ASP-DAC), pp. 233–239 (2003)
57.
Zurück zum Zitat N. Koziris, M. Romesis, P. Tsanakas, G.Papakonstantinou, An efficient algorithm for the physical mapping of clustered task graphs onto multiprocessor architectures, in Proceeding of Euromicro Workshop on Parallel and Distributed Processing N. Koziris, M. Romesis, P. Tsanakas, G.Papakonstantinou, An efficient algorithm for the physical mapping of clustered task graphs onto multiprocessor architectures, in Proceeding of Euromicro Workshop on Parallel and Distributed Processing
58.
Zurück zum Zitat S. Murali, G. De Micheli, Bandwidth-constrained mapping of cores onto NoC architectures, in Proceeding of the Design, Automation and Test in Europe Conference and Exhibition (DATE) 2004), pp. 896–901 (2004) S. Murali, G. De Micheli, Bandwidth-constrained mapping of cores onto NoC architectures, in Proceeding of the Design, Automation and Test in Europe Conference and Exhibition (DATE) 2004), pp. 896–901 (2004)
59.
Zurück zum Zitat E. Rijpkema, K. Goossens, A. Radulescu, J. Dielissen, J. van Meerbergen, P. Wielage, E. Waterlander, Trade-offs in the design of a router with both guaranteed and best-effort services for networks on chip. IEE Proc. Comput. Digital Tech. 150(5), 294–302 (2003) E. Rijpkema, K. Goossens, A. Radulescu, J. Dielissen, J. van Meerbergen, P. Wielage, E. Waterlander, Trade-offs in the design of a router with both guaranteed and best-effort services for networks on chip. IEE Proc. Comput. Digital Tech. 150(5), 294–302 (2003)
60.
Zurück zum Zitat F. Feliciian, S. Furber, An asynchronous on-chip network router with quality-of-service (QoS) support, in Proceeding of SOC Conference, pp. 274–277 (2004) F. Feliciian, S. Furber, An asynchronous on-chip network router with quality-of-service (QoS) support, in Proceeding of SOC Conference, pp. 274–277 (2004)
61.
Zurück zum Zitat R. Guerin, A. Orda, D. Williams, QoS routing mechanisms and OSPF extensions. Global Telecommun Conf. (GLOBECOM) 3, 1903–1908 (1997)CrossRef R. Guerin, A. Orda, D. Williams, QoS routing mechanisms and OSPF extensions. Global Telecommun Conf. (GLOBECOM) 3, 1903–1908 (1997)CrossRef
62.
Zurück zum Zitat S. Li, L. Peh, A. Kumar, N.K. Jha, Thermal modeling, characterization and management of on-chip Networks, in International Symposium on Microarchitecture (MICRO-37), pp. 67–78 (2004) S. Li, L. Peh, A. Kumar, N.K. Jha, Thermal modeling, characterization and management of on-chip Networks, in International Symposium on Microarchitecture (MICRO-37), pp. 67–78 (2004)
63.
Zurück zum Zitat G. Ascia, V. Catania, M. Palesi, Multi-objective mapping for mesh-based NoC architectures, in International Conference on Hardware/Software Codesign and System, Synthesis (CODES+ISSS), pp. 182–187 (2004) G. Ascia, V. Catania, M. Palesi, Multi-objective mapping for mesh-based NoC architectures, in International Conference on Hardware/Software Codesign and System, Synthesis (CODES+ISSS), pp. 182–187 (2004)
64.
Zurück zum Zitat J. Hu, R. Marculescu, Energy- and performance-aware mapping for regular NoC architectures. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 24(4), 551–562 (April 2005) J. Hu, R. Marculescu, Energy- and performance-aware mapping for regular NoC architectures. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 24(4), 551–562 (April 2005)
65.
Zurück zum Zitat M. Dall’Osso, G. Biccari, L. Giovannini, D. Bertozzi, L. Benini, Xpipes: a latency insensitive parameterized network-on-chip architecture for multi-processor SoCs, in International Conference on Computer Design (ICCD), pp. 45–48 (2012) M. Dall’Osso, G. Biccari, L. Giovannini, D. Bertozzi, L. Benini, Xpipes: a latency insensitive parameterized network-on-chip architecture for multi-processor SoCs, in International Conference on Computer Design (ICCD), pp. 45–48 (2012)
66.
Zurück zum Zitat R. Tamhankar, S. Murali, S. Stergiou, A. Pullini, F. Angiolini, L. Benini, G. De Micheli, Timing-error-tolerant network-on-chip design methodology. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 26(7), 1297–1310 (July 2007)CrossRef R. Tamhankar, S. Murali, S. Stergiou, A. Pullini, F. Angiolini, L. Benini, G. De Micheli, Timing-error-tolerant network-on-chip design methodology. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 26(7), 1297–1310 (July 2007)CrossRef
67.
Zurück zum Zitat M.R. Guthaus, J.S. Ringenberg, D. Ernst, T.M. Austin, T. Mudge, R.B. Brown, MiBench: a free, commercially representative embedded benchmark suite, in Annual Workshop on Workload Characterization, pp. 3–14 (2001) M.R. Guthaus, J.S. Ringenberg, D. Ernst, T.M. Austin, T. Mudge, R.B. Brown, MiBench: a free, commercially representative embedded benchmark suite, in Annual Workshop on Workload Characterization, pp. 3–14 (2001)
68.
Zurück zum Zitat R. Weicker, Dhrystone: a synthetic systems programming benchmark. Commun. ACM 27(10), 1013–1030 (Oct. 1984)CrossRef R. Weicker, Dhrystone: a synthetic systems programming benchmark. Commun. ACM 27(10), 1013–1030 (Oct. 1984)CrossRef
69.
Zurück zum Zitat M. Jabbar, D. Houzet, 3D architecture implementation: a survey, in IP Embedded System Conference (IP-SOC), pp. 1–5 (2011) M. Jabbar, D. Houzet, 3D architecture implementation: a survey, in IP Embedded System Conference (IP-SOC), pp. 1–5 (2011)
70.
Zurück zum Zitat R. Kourdy, M.R. Nouri, Compare performance of 2D and 3D mesh architectures in network-on-chip. J. Comput. 4(1), 83–87 (2012) R. Kourdy, M.R. Nouri, Compare performance of 2D and 3D mesh architectures in network-on-chip. J. Comput. 4(1), 83–87 (2012)
71.
Zurück zum Zitat A. Gerstlauer, Communication abstractions for system-level design and synthesis. Technical Report TR-03-30, Center for Embedded Computer Systems, University of California, Irvine, CA, 2003 A. Gerstlauer, Communication abstractions for system-level design and synthesis. Technical Report TR-03-30, Center for Embedded Computer Systems, University of California, Irvine, CA, 2003
Metadaten
Titel
NoC Modeling and Topology Exploration
verfasst von
Konstantinos Tatas
Kostas Siozios
Dimitrios Soudris
Axel Jantsch
Copyright-Jahr
2014
Verlag
Springer New York
DOI
https://doi.org/10.1007/978-1-4614-4274-5_2

Neuer Inhalt