Skip to main content
Erschienen in: The Journal of Supercomputing 1/2021

30.03.2020

On-Fly-TOD: an efficient mechanism for crosstalk fault reduction in WNoC

verfasst von: Zahra Shirmohammadi, Marjan Asadinia

Erschienen in: The Journal of Supercomputing | Ausgabe 1/2021

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Hierarchical architecture of Wireless Network on Chips (WNoCs) composes of wired level and wireless level. In this architecture, subnetworks in wired level are connected by wireless. One of the main reliability challenges in the wired level of WNoC architecture is the crosstalk fault. Crosstalk fault occurs among the long and adjacent wires of wired level of WNoC due to tandem data traversal. Triple Opposite Directions (TODs) patterns are the worst data traversal patterns that impose the highest intensity effects on wires. To solve this problem, this paper presents a mechanism called On-Fly-TOD. In the architecture of the proposed mechanism, subnetworks are not only connected by a wireless level, but also by wired levels. In other words, this architecture uses the inherent characteristic of WNoCs by sending packets with TOD patterns by the wireless level. In this mechanism, tandem flits are counted and flits with high amounts of TODs are sent using wireless level. Simulations show that On-Fly-TOD mechanism provides a trade-off between performance, power consumption and reliability issues. In addition, this architecture suitably scales with the ever-increasing number of on-chip processing elements (PEs) which guarantees a minimum number of hop counts between any source and destination without using long wires as the number of on-chip PEs increases.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Literatur
2.
Zurück zum Zitat Deb S, Ganguly A, Pande PP, Belzer B, Heo D (2012) Wireless noc as interconnection backbone for multicore chips: promises and challenges. IEEE J Emerg Sel Top Circuits Syst 2(2):228–239CrossRef Deb S, Ganguly A, Pande PP, Belzer B, Heo D (2012) Wireless noc as interconnection backbone for multicore chips: promises and challenges. IEEE J Emerg Sel Top Circuits Syst 2(2):228–239CrossRef
3.
Zurück zum Zitat Duan C, Calle VHC, Khatri SP (2009) Efficient on-chip crosstalk avoidance codec design. IEEE Trans Very Large Scale Integr VLSI Syst 17(4):551–560CrossRef Duan C, Calle VHC, Khatri SP (2009) Efficient on-chip crosstalk avoidance codec design. IEEE Trans Very Large Scale Integr VLSI Syst 17(4):551–560CrossRef
4.
Zurück zum Zitat Fu B, Ampadu P (2012) Error control for network-on-chip links. Springer, Berlin, pp 197–208CrossRef Fu B, Ampadu P (2012) Error control for network-on-chip links. Springer, Berlin, pp 197–208CrossRef
5.
Zurück zum Zitat Kahng AB, Li B, Peh LS, Samadi K (2009) Orion 2.0: a fast and accurate noc power and area model for early-stage design space exploration. In: Proceedings of the Conference on Design, Automation and Test in Europe, pp 423–428 Kahng AB, Li B, Peh LS, Samadi K (2009) Orion 2.0: a fast and accurate noc power and area model for early-stage design space exploration. In: Proceedings of the Conference on Design, Automation and Test in Europe, pp 423–428
6.
Zurück zum Zitat Kose S, Salman E, Friedman EG (2010) Shielding methodologies in the presence of power/ground noise. IEEE Trans Very Large Scale Integr VLSI Syst 19(8):1458–1468CrossRef Kose S, Salman E, Friedman EG (2010) Shielding methodologies in the presence of power/ground noise. IEEE Trans Very Large Scale Integr VLSI Syst 19(8):1458–1468CrossRef
7.
Zurück zum Zitat Lee SB, Tam SW, Pefkianakis I, Lu S, Chang MF, Guo C, Reinman G, Peng C, Naik M, Zhang L, Cong J (2009) A scalable micro wireless interconnect structure for cmps. In: Proceedings of the 15th Annual International Conference on Mobile Computing and Networking, pp 217–228 Lee SB, Tam SW, Pefkianakis I, Lu S, Chang MF, Guo C, Reinman G, Peng C, Naik M, Zhang L, Cong J (2009) A scalable micro wireless interconnect structure for cmps. In: Proceedings of the 15th Annual International Conference on Mobile Computing and Networking, pp 217–228
8.
Zurück zum Zitat Mutyam M (2004) Preventing crosstalk delay using Fibonacci representation. In: 17th International Conference on VLSI Design. Proceedings, pp 685–688. IEEE Mutyam M (2004) Preventing crosstalk delay using Fibonacci representation. In: 17th International Conference on VLSI Design. Proceedings, pp 685–688. IEEE
9.
Zurück zum Zitat Niesen U, Kudekar S (2018) Joint crosstalk-avoidance and error-correction coding for parallel data buses. IEEE Trans Inf Theory 65(3):1626–1638MathSciNetCrossRef Niesen U, Kudekar S (2018) Joint crosstalk-avoidance and error-correction coding for parallel data buses. IEEE Trans Inf Theory 65(3):1626–1638MathSciNetCrossRef
10.
Zurück zum Zitat Omaña M, Govindaraj S, Metra C (2019) Low-cost strategy for bus propagation delay reduction. J Electron Test 35(2):253–260CrossRef Omaña M, Govindaraj S, Metra C (2019) Low-cost strategy for bus propagation delay reduction. J Electron Test 35(2):253–260CrossRef
11.
Zurück zum Zitat Pande PP, Ganguly A, Zhu H, Grecu C (2008) Energy reduction through crosstalk avoidance coding in networks on chip. J Syst Archit 54(3–4):441–451CrossRef Pande PP, Ganguly A, Zhu H, Grecu C (2008) Energy reduction through crosstalk avoidance coding in networks on chip. J Syst Archit 54(3–4):441–451CrossRef
12.
Zurück zum Zitat Shirmohammadi Z (2019) Op-fibo: an efficient forbidden pattern free cac design. Integration 65:104–109CrossRef Shirmohammadi Z (2019) Op-fibo: an efficient forbidden pattern free cac design. Integration 65:104–109CrossRef
13.
Zurück zum Zitat Shirmohammadi Z, Ansari M, Abharian SK, Safari S, Miremadi SG (2015) Pam: a packet manipulation mechanism for mitigating crosstalk faults in nocs. In: 2015 IEEE International Conference on Computer and Information Technology; Ubiquitous Computing and Communications; Dependable, Autonomic and Secure Computing; Pervasive Intelligence and Computing. IEEE, pp 1895–1902 Shirmohammadi Z, Ansari M, Abharian SK, Safari S, Miremadi SG (2015) Pam: a packet manipulation mechanism for mitigating crosstalk faults in nocs. In: 2015 IEEE International Conference on Computer and Information Technology; Ubiquitous Computing and Communications; Dependable, Autonomic and Secure Computing; Pervasive Intelligence and Computing. IEEE, pp 1895–1902
14.
Zurück zum Zitat Shirmohammadi Z, Miremadi SG (2013) Crosstalk avoidance coding for reliable data transmission of network on chips. In: 2013 International Symposium on System on Chip (SoC). IEEE, pp 1–4 Shirmohammadi Z, Miremadi SG (2013) Crosstalk avoidance coding for reliable data transmission of network on chips. In: 2013 International Symposium on System on Chip (SoC). IEEE, pp 1–4
15.
Zurück zum Zitat Shirmohammadi Z, Miremadi SG (2013) Using binary-reflected gray coding for crosstalk mitigation of network on chip. In: The 17th CSI International Symposium on Computer Architecture & Digital Systems (CADS 2013). IEEE, pp 81–86 Shirmohammadi Z, Miremadi SG (2013) Using binary-reflected gray coding for crosstalk mitigation of network on chip. In: The 17th CSI International Symposium on Computer Architecture & Digital Systems (CADS 2013). IEEE, pp 81–86
16.
Zurück zum Zitat Shirmohammadi Z, Miremadi SG (2015) Addressing noc reliability through an efficient fibonacci-based crosstalk avoidance codec design. In: International Conference on Algorithms and Architectures for Parallel Processing. Springer, pp 756–770 Shirmohammadi Z, Miremadi SG (2015) Addressing noc reliability through an efficient fibonacci-based crosstalk avoidance codec design. In: International Conference on Algorithms and Architectures for Parallel Processing. Springer, pp 756–770
17.
Zurück zum Zitat Shirmohammadi Z, Miremadi SG (2015) S2ap: An efficient numerical-based crosstalk avoidance code for reliable data transfer of nocs. In: 10th International Symposium on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC), 2015. IEEE, pp 1–6 Shirmohammadi Z, Miremadi SG (2015) S2ap: An efficient numerical-based crosstalk avoidance code for reliable data transfer of nocs. In: 10th International Symposium on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC), 2015. IEEE, pp 1–6
18.
Zurück zum Zitat Shirmohammadi Z, Mozafari F, Miremadi SG (2017) An efficient numerical-based crosstalk avoidance codec design for nocs. Microprocess Microsyst 50(1):127–137CrossRef Shirmohammadi Z, Mozafari F, Miremadi SG (2017) An efficient numerical-based crosstalk avoidance codec design for nocs. Microprocess Microsyst 50(1):127–137CrossRef
19.
Zurück zum Zitat Shirmohammadi Z, Nikoofard AH, Ershadi G (2019) AM3D: an accurate crosstalk probability modeling to predict channel delay in 3D ICs. In: Microelectronics reliability, vol 102. Springer Shirmohammadi Z, Nikoofard AH, Ershadi G (2019) AM3D: an accurate crosstalk probability modeling to predict channel delay in 3D ICs. In: Microelectronics reliability, vol 102. Springer
20.
Zurück zum Zitat Sridhara SR, Shanbhag NR (2007) Coding for reliable on-chip buses: a class of fundamental bounds and practical codes. IEEE Trans CAD Integr Circuits Syst 26(5):977–982CrossRef Sridhara SR, Shanbhag NR (2007) Coding for reliable on-chip buses: a class of fundamental bounds and practical codes. IEEE Trans CAD Integr Circuits Syst 26(5):977–982CrossRef
21.
Zurück zum Zitat Subramaniam B, Muthusamy S, Gengavel G (2020) Crosstalk minimization in network on chip (noc) links with dual binary weighted code codec. J Ambient Intell Humaniz Comput, pp 1–6 Subramaniam B, Muthusamy S, Gengavel G (2020) Crosstalk minimization in network on chip (noc) links with dual binary weighted code codec. J Ambient Intell Humaniz Comput, pp 1–6
22.
Zurück zum Zitat Victor B, Keutzer K (2001) Bus encoding to prevent crosstalk delay. In: IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No. 01CH37281). IEEE, pp 57–63 Victor B, Keutzer K (2001) Bus encoding to prevent crosstalk delay. In: IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No. 01CH37281). IEEE, pp 57–63
23.
Zurück zum Zitat Woo SC, Ohara M, Torrie E, Singh JP, Gupta A (1995) The splash-2 programs: characterization and methodological considerations. In: Proceedings of the 22nd Annual International Symposium on Computer Architecture, pp 24–36 Woo SC, Ohara M, Torrie E, Singh JP, Gupta A (1995) The splash-2 programs: characterization and methodological considerations. In: Proceedings of the 22nd Annual International Symposium on Computer Architecture, pp 24–36
24.
Zurück zum Zitat Wu X, Yan Z (2010) Efficient codec designs for crosstalk avoidance codes based on numeral systems. IEEE Trans Very Large Scale Integr VLSI Syst 19(4):548–558MathSciNetCrossRef Wu X, Yan Z (2010) Efficient codec designs for crosstalk avoidance codes based on numeral systems. IEEE Trans Very Large Scale Integr VLSI Syst 19(4):548–558MathSciNetCrossRef
25.
Zurück zum Zitat Zhang T, Sapatnekar SS (2007) Simultaneous shield and buffer insertion for crosstalk noise reduction in global routing. IEEE Trans Very Large Scale Integr VLSI Syst 15(6):624–636CrossRef Zhang T, Sapatnekar SS (2007) Simultaneous shield and buffer insertion for crosstalk noise reduction in global routing. IEEE Trans Very Large Scale Integr VLSI Syst 15(6):624–636CrossRef
Metadaten
Titel
On-Fly-TOD: an efficient mechanism for crosstalk fault reduction in WNoC
verfasst von
Zahra Shirmohammadi
Marjan Asadinia
Publikationsdatum
30.03.2020
Verlag
Springer US
Erschienen in
The Journal of Supercomputing / Ausgabe 1/2021
Print ISSN: 0920-8542
Elektronische ISSN: 1573-0484
DOI
https://doi.org/10.1007/s11227-020-03259-1

Weitere Artikel der Ausgabe 1/2021

The Journal of Supercomputing 1/2021 Zur Ausgabe