Skip to main content
Erschienen in: Journal of Electronic Testing 6/2013

01.12.2013

Online Testable Approaches in Reversible Logic

verfasst von: N. M. Nayeem, J. E. Rice

Erschienen in: Journal of Electronic Testing | Ausgabe 6/2013

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

We present an overview and analysis of existing work in the design of online testable reversible logic circuits, as well as propose new approaches for the design of such circuits. We explain how previously proposed approaches are unnecessarily high in overhead and in many cases do not provide adequate fault coverage. Proofs of the correctness of our approaches are provided, and discussions of the advantages and disadvantages of each design approach are given. Experimental results comparing our approaches to existing work are presented as well. Both approaches that we propose have better fault coverage and significantly lower overheads than previous approaches.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Literatur
1.
Zurück zum Zitat Arabzadeh M, Saeedi M, Zamani M (2010) Rule-based optimization of reversible circuits. In: Proceedings of Asia and South Pacific design automation conference (ASPDAC), pp 849–854 Arabzadeh M, Saeedi M, Zamani M (2010) Rule-based optimization of reversible circuits. In: Proceedings of Asia and South Pacific design automation conference (ASPDAC), pp 849–854
2.
3.
Zurück zum Zitat Bennett CH (1973) Logical reversibility of computation. IBM J Res Dev 17(6):525–532CrossRefMATH Bennett CH (1973) Logical reversibility of computation. IBM J Res Dev 17(6):525–532CrossRefMATH
4.
Zurück zum Zitat Chakraborty A (2005) Synthesis of reversible circuits for testing with universal test set and C-testability of reversible iterative logic arrays. In: Proceedings of the 18th international conference on VLSI design, pp 249–254 Chakraborty A (2005) Synthesis of reversible circuits for testing with universal test set and C-testability of reversible iterative logic arrays. In: Proceedings of the 18th international conference on VLSI design, pp 249–254
5.
Zurück zum Zitat Chen J, Zhang X, Wang L, Wei X, Zhao W (2008) Extended Toffoli gate implementation with photons. In: Proceedings of 9th international conference on solid-state and integrated-circuit technology (ICSICT). China, pp 575–578 Chen J, Zhang X, Wang L, Wei X, Zhao W (2008) Extended Toffoli gate implementation with photons. In: Proceedings of 9th international conference on solid-state and integrated-circuit technology (ICSICT). China, pp 575–578
7.
Zurück zum Zitat De Vos A (2010) Reversible computing: fundamentals, quantum computing, and applications Chapter 4.5: An application: prototype chips. Wiley-VCH, Weinheim De Vos A (2010) Reversible computing: fundamentals, quantum computing, and applications Chapter 4.5: An application: prototype chips. Wiley-VCH, Weinheim
9.
Zurück zum Zitat De Vos A, Burignat SP, Thomsen MK (2012) Reversible implementation of a discrete integer linear transformation. J Mult-Valued Log Soft Comput 18(1):25–35MATH De Vos A, Burignat SP, Thomsen MK (2012) Reversible implementation of a discrete integer linear transformation. J Mult-Valued Log Soft Comput 18(1):25–35MATH
10.
Zurück zum Zitat Farazmand N, Zamani M, Tahoori MB (2010) Online fault testing of reversible logic using dual rail coding. In: Proceedings of 16th IEEE international on-line testing symposium (IOLTS), pp 204–205 Farazmand N, Zamani M, Tahoori MB (2010) Online fault testing of reversible logic using dual rail coding. In: Proceedings of 16th IEEE international on-line testing symposium (IOLTS), pp 204–205
11.
Zurück zum Zitat Fazel K, Thornton M, Rice JE (2007) ESOP-based Toffoli gate cascade generation. In: Proceedings of the IEEE Pacific Rim conference on communications, computers and signal processing (PACRIM). Victoria, pp 206–209 Fazel K, Thornton M, Rice JE (2007) ESOP-based Toffoli gate cascade generation. In: Proceedings of the IEEE Pacific Rim conference on communications, computers and signal processing (PACRIM). Victoria, pp 206–209
12.
Zurück zum Zitat Frank MP (2005) Introduction to reversible computing: motivation, progress, and challenges. In: Proceedings of the 2nd conference on computing frontiers. ACM Press, Ischia, pp 385–390 Frank MP (2005) Introduction to reversible computing: motivation, progress, and challenges. In: Proceedings of the 2nd conference on computing frontiers. ACM Press, Ischia, pp 385–390
13.
Zurück zum Zitat Hayes JP, Polian I, Becker B (2004) Testing for missing-gate faults in reversible circuits. In: Proceedings of the 13th asian test symposium, pp 100–105. doi:10.1109/ATS.2004.84 Hayes JP, Polian I, Becker B (2004) Testing for missing-gate faults in reversible circuits. In: Proceedings of the 13th asian test symposium, pp 100–105. doi:10.​1109/​ATS.​2004.​84
14.
Zurück zum Zitat Ibrahim M, Chowdhury AR, Babu HMH (2008) Minimization of CTS of k-CNOT circuits for SSF and MSF model. In: Proceedings of the IEEE international symposium on defect and fault tolerance of VLSI systems. Boston, pp 290–298 Ibrahim M, Chowdhury AR, Babu HMH (2008) Minimization of CTS of k-CNOT circuits for SSF and MSF model. In: Proceedings of the IEEE international symposium on defect and fault tolerance of VLSI systems. Boston, pp 290–298
16.
Zurück zum Zitat Kim S, Chae SI (2005) Implementation of a simple 8-bit microprocessor with reversible energy recovery logic. In: Proceedings of the 2nd conference on computing frontiers. ACM Press, Ischia, pp 421–426 Kim S, Chae SI (2005) Implementation of a simple 8-bit microprocessor with reversible energy recovery logic. In: Proceedings of the 2nd conference on computing frontiers. ACM Press, Ischia, pp 421–426
17.
Zurück zum Zitat Kole DK, Rahaman H, Das DK (2010) Synthesis of online testable reversible circuit. In: Proceedings of 13th IEEE international symposium on design and diagnostics of electronic circuits and systems (DDECS). Vienna, pp 277–280 Kole DK, Rahaman H, Das DK (2010) Synthesis of online testable reversible circuit. In: Proceedings of 13th IEEE international symposium on design and diagnostics of electronic circuits and systems (DDECS). Vienna, pp 277–280
19.
Zurück zum Zitat Mahammad SN, Veezhinathan K (2010) Constructing online testable circuits using reversible logic. IEEE Trans Instrum Meas 59(1):101–109CrossRef Mahammad SN, Veezhinathan K (2010) Constructing online testable circuits using reversible logic. IEEE Trans Instrum Meas 59(1):101–109CrossRef
21.
Zurück zum Zitat Maslov D, Dueck GW, Miller DM, Negrevergne C (2008) Quantum circuit simplification and level compaction. IEEE Trans Comput-Aided Des Integr Circ Syst 27(3):436–444CrossRef Maslov D, Dueck GW, Miller DM, Negrevergne C (2008) Quantum circuit simplification and level compaction. IEEE Trans Comput-Aided Des Integr Circ Syst 27(3):436–444CrossRef
22.
Zurück zum Zitat Merkle RC (1993) Reversible electronic logic using switches. Nanotechnology 4(1):21–40CrossRef Merkle RC (1993) Reversible electronic logic using switches. Nanotechnology 4(1):21–40CrossRef
23.
Zurück zum Zitat Miller D, Wille R, Sasanian Z (2011) Elementary quantum gate realizations for multiple-control toffoli gates. In: Proceedings of the 41st IEEE international symposium on multiple-valued logic (ISMVL), pp 288–293. doi:10.1109/ISMVL.2011.54 Miller D, Wille R, Sasanian Z (2011) Elementary quantum gate realizations for multiple-control toffoli gates. In: Proceedings of the 41st IEEE international symposium on multiple-valued logic (ISMVL), pp 288–293. doi:10.​1109/​ISMVL.​2011.​54
24.
Zurück zum Zitat Miller DM, Maslov D, Dueck GW (2003) A transformation based algorithm for reversible logic synthesis. In: Proceedings of the 40th annual design automation conference (DAC), pp 318–323 Miller DM, Maslov D, Dueck GW (2003) A transformation based algorithm for reversible logic synthesis. In: Proceedings of the 40th annual design automation conference (DAC), pp 318–323
26.
Zurück zum Zitat Moore GE (1975) Progress in digital integrated electronics. In: Technical digest 1975 IEEE international electron devices meeting, pp 11–13 Moore GE (1975) Progress in digital integrated electronics. In: Technical digest 1975 IEEE international electron devices meeting, pp 11–13
27.
Zurück zum Zitat Nayeem NM, Rice JE (2011) Online fault detection in reversible logic. In: Proceedings of the IEEE international symposium on defect and fault tolerance in VLSI systems (DFT). Vancouver, pp 426–434 Nayeem NM, Rice JE (2011) Online fault detection in reversible logic. In: Proceedings of the IEEE international symposium on defect and fault tolerance in VLSI systems (DFT). Vancouver, pp 426–434
28.
Zurück zum Zitat Nayeem NM, Rice JE (2011) A shared-cube approach to ESOP-based synthesis of reversible logic. Facta Univ Ser Electron Energ 24(3):385–402CrossRef Nayeem NM, Rice JE (2011) A shared-cube approach to ESOP-based synthesis of reversible logic. Facta Univ Ser Electron Energ 24(3):385–402CrossRef
29.
Zurück zum Zitat Nayeem NM, Rice JE (2011) A simple approach for designing online testable reversible circuits. In: Proceedings of the IEEE pacific rim conference on communications, computers and signal processing (PACRIM). Victoria, Canada, pp 85–90 Nayeem NM, Rice JE (2011) A simple approach for designing online testable reversible circuits. In: Proceedings of the IEEE pacific rim conference on communications, computers and signal processing (PACRIM). Victoria, Canada, pp 85–90
30.
Zurück zum Zitat Nielsen M, Chuang I (2000) Quantum Computation and Quantum Information. Cambridge University Press Nielsen M, Chuang I (2000) Quantum Computation and Quantum Information. Cambridge University Press
31.
Zurück zum Zitat Patel KN, Hayes JP, Markov IL (2004) Fault testing for reversible circuits. IEEE Trans Comput Aided Des Integr Circ Syst 23(8):1220–1230CrossRef Patel KN, Hayes JP, Markov IL (2004) Fault testing for reversible circuits. IEEE Trans Comput Aided Des Integr Circ Syst 23(8):1220–1230CrossRef
32.
Zurück zum Zitat Picton P (1991) Optoelectronic, multivalued, conservative logic. Int J Opt Comput 2:19–29 Picton P (1991) Optoelectronic, multivalued, conservative logic. Int J Opt Comput 2:19–29
33.
Zurück zum Zitat Polian I, Hayes JP, Fiehn T, Becker B (2005) A family of logical fault models for reversible circuits. In: Proceedings of the 14th Asian test symposium (ATS), Calcutta, pp 422–427 Polian I, Hayes JP, Fiehn T, Becker B (2005) A family of logical fault models for reversible circuits. In: Proceedings of the 14th Asian test symposium (ATS), Calcutta, pp 422–427
34.
Zurück zum Zitat Rahaman H, Kole DK, Das DK, Bhattacharya BB (2008) On the detection of missing-gate faults in reversible circuits by a universal test set. In: Proceedings of the 21st international conference on VLSI design, pp 163–168. doi:10.1109/VLSI.2008.106 Rahaman H, Kole DK, Das DK, Bhattacharya BB (2008) On the detection of missing-gate faults in reversible circuits by a universal test set. In: Proceedings of the 21st international conference on VLSI design, pp 163–168. doi:10.​1109/​VLSI.​2008.​106
35.
Zurück zum Zitat Rice JE (2013) An overview of fault models and testing approaches for reversible logic. In: Submitted to the 2013 pacific rim conference on computers, communications, and signal processing Rice JE (2013) An overview of fault models and testing approaches for reversible logic. In: Submitted to the 2013 pacific rim conference on computers, communications, and signal processing
36.
Zurück zum Zitat Rice JE, Suen V (2010) Using autocorrelation coefficient-based cost functions in ESOP-based Toffoli gate cascade generation. In: Proceedings of 23rd canadian conference on electrical and computer engineering (CCECE), Calgary, pp 1–6 Rice JE, Suen V (2010) Using autocorrelation coefficient-based cost functions in ESOP-based Toffoli gate cascade generation. In: Proceedings of 23rd canadian conference on electrical and computer engineering (CCECE), Calgary, pp 1–6
37.
Zurück zum Zitat Sanaee Y, Dueck GW (2010) ESOP-based Toffoli network generation with transformations. In: Proceedings of 40th IEEE international symposium on multiple-valued logic, pp 276–281 Sanaee Y, Dueck GW (2010) ESOP-based Toffoli network generation with transformations. In: Proceedings of 40th IEEE international symposium on multiple-valued logic, pp 276–281
39.
Zurück zum Zitat Shende VV, Prasad AK, Markov IL, Hayes JP (2003) Synthesis of reversible logic circuits. IEEE Trans Comput Aided Des Integr Circ Syst 22(6):710–722CrossRef Shende VV, Prasad AK, Markov IL, Hayes JP (2003) Synthesis of reversible logic circuits. IEEE Trans Comput Aided Des Integr Circ Syst 22(6):710–722CrossRef
40.
Zurück zum Zitat Thapliyal H, Vinod AP (2007) Designing efficient online testable reversible adders with new reversible gate. In: Proceedings of IEEE international symposium on circuits and systems (ISCAS). New Orleans, pp 1085–1088 Thapliyal H, Vinod AP (2007) Designing efficient online testable reversible adders with new reversible gate. In: Proceedings of IEEE international symposium on circuits and systems (ISCAS). New Orleans, pp 1085–1088
41.
Zurück zum Zitat Vasudevan DP, Lala PK, Jia D, Parkerson JP (2006) Reversible logic design with online testability. IEEE Trans Instrum Meas 55(2):406–414CrossRef Vasudevan DP, Lala PK, Jia D, Parkerson JP (2006) Reversible logic design with online testability. IEEE Trans Instrum Meas 55(2):406–414CrossRef
42.
Zurück zum Zitat Wang L, Wu C, Wen X (eds) (2006) VLSI test principles and architectures: design for testability. Morgan Kaufmann Wang L, Wu C, Wen X (eds) (2006) VLSI test principles and architectures: design for testability. Morgan Kaufmann
44.
Zurück zum Zitat Wille R, Große D, Teuber L, Dueck GW, Drechsler R (2008) RevLib: An online resource for reversible functions and reversible circuits. In: Proceedings of 38th international symposium on multiple valued logic, pp 220–225. RevLib is available at http://www.revlib.org Wille R, Große D, Teuber L, Dueck GW, Drechsler R (2008) RevLib: An online resource for reversible functions and reversible circuits. In: Proceedings of 38th international symposium on multiple valued logic, pp 220–225. RevLib is available at http://​www.​revlib.​org
45.
Zurück zum Zitat Wille R, Keszȯcze O, Drechsler R (2011) Determining the minimal number of lines for large reversible circuits. In: Design, automation test in Europe conference exhibition (DATE), 2011, pp 1–4. doi:10.1109/DATE.2011.5763314 Wille R, Keszȯcze O, Drechsler R (2011) Determining the minimal number of lines for large reversible circuits. In: Design, automation test in Europe conference exhibition (DATE), 2011, pp 1–4. doi:10.​1109/​DATE.​2011.​5763314
46.
Zurück zum Zitat Zhong J, Muzio JC (2006) Analyzing fault models for reversible logic circuits. In: Proceedings of IEEE congress on evolutionary computation (CEC). Vancouver, pp 2422–2427 Zhong J, Muzio JC (2006) Analyzing fault models for reversible logic circuits. In: Proceedings of IEEE congress on evolutionary computation (CEC). Vancouver, pp 2422–2427
Metadaten
Titel
Online Testable Approaches in Reversible Logic
verfasst von
N. M. Nayeem
J. E. Rice
Publikationsdatum
01.12.2013
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 6/2013
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-013-5399-3

Weitere Artikel der Ausgabe 6/2013

Journal of Electronic Testing 6/2013 Zur Ausgabe

Neuer Inhalt