Skip to main content
Erschienen in: International Journal of Parallel Programming 4/2017

13.06.2016

Optimization of Data Allocation on CMP Embedded System with Data Migration

verfasst von: Jiayi Du, Renfa Li, Zheng Xiao, Zhao Tong, Li Zhang

Erschienen in: International Journal of Parallel Programming | Ausgabe 4/2017

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Chip multi-processors are applied in embedded system. An embedded system with multi-cores is considered large and consumes substantial power. Scathed-pad memory (SPM) and non-volatile memory (NVM) are new memory technologies, and an embedded system that uses SPM and NVM can reduce its size and power consumption.This study proposes an optimization of data allocation with data migration algorithm on task-level (TODMA). Data migration and dynamic programming are co-dependent and are combined to allocate task data in TODMA. In the experiments, we evaluated the performance of TODMA algorithm based on DSPstone benchmark and random benchmark. Results of DSPstone show that TODMA reduces the time cost, the number of write activities on NVM, and system energy consumption by 36.25, 24.58, and 34.41 %, respectively, compared with the greedy algorithm. The corresponding reductions are 33.82, 10.00, and 24.27 %, respectively, compared with the iterational optimal data placement algorithm (IODA). For the random benchmark, TODMA can reduce the time cost, the number of write activities on NVM, and system energy consumption by 26.79, 33.32, and 26.88 %, respectively, compared with the greedy algorithm. The advanced percentages are 25.17, 9.87, and 19.54 %, respectively, which are similar to IODA algorithm. Results show that the proposed TODMA algorithm effectively optimizes data allocation problems, improves system performance, reduces the number of write activities on NVM main memory, and lessens system energy consumption.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Chakraborty, P., Panda, P.R.: Integrating software caches with scratch pad memory. In: Proceedings of the 2012 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, pp. 201–210. ACM (2012) Chakraborty, P., Panda, P.R.: Integrating software caches with scratch pad memory. In: Proceedings of the 2012 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, pp. 201–210. ACM (2012)
2.
Zurück zum Zitat Chakraborty, P., Panda, P.R.: Spm-sieve: a framework for assisting data partitioning in scratch pad memory based systems. In: Proceedings of the 2013 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, p. 21. IEEE Press (2013) Chakraborty, P., Panda, P.R.: Spm-sieve: a framework for assisting data partitioning in scratch pad memory based systems. In: Proceedings of the 2013 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, p. 21. IEEE Press (2013)
3.
Zurück zum Zitat Dong, X., Wu, X., Sun, G., Xie, Y., Li, H., Chen, Y.: Circuit and microarchitecture evaluation of 3D stacking magnetic ram (MRAM) as a universal memory replacement. In: 45th ACM/IEEE Design Automation Conference, 2008. DAC 2008, pp. 554–559. IEEE (2008) Dong, X., Wu, X., Sun, G., Xie, Y., Li, H., Chen, Y.: Circuit and microarchitecture evaluation of 3D stacking magnetic ram (MRAM) as a universal memory replacement. In: 45th ACM/IEEE Design Automation Conference, 2008. DAC 2008, pp. 554–559. IEEE (2008)
4.
Zurück zum Zitat Dong, X., Xu, C., Xie, Y., Jouppi, N.P.: Nvsim: a circuit-level performance, energy, and area model for emerging nonvolatile memory. Comput.-Aided Des. Integr. Circuits Syst. IEEE Trans. 31(7), 994–1007 (2012)CrossRef Dong, X., Xu, C., Xie, Y., Jouppi, N.P.: Nvsim: a circuit-level performance, energy, and area model for emerging nonvolatile memory. Comput.-Aided Des. Integr. Circuits Syst. IEEE Trans. 31(7), 994–1007 (2012)CrossRef
5.
Zurück zum Zitat Hu, J., Xue, C.J., Zhuge, Q., Tseng, W.C., Sha, E.H.M.: Write activity reduction on non-volatile main memories for embedded chip multiprocessors. ACM Trans. Embed. Comput. Syst. (TECS) 12(3), 77 (2013) Hu, J., Xue, C.J., Zhuge, Q., Tseng, W.C., Sha, E.H.M.: Write activity reduction on non-volatile main memories for embedded chip multiprocessors. ACM Trans. Embed. Comput. Syst. (TECS) 12(3), 77 (2013)
6.
Zurück zum Zitat Hu, J., Zhuge, X.C.Q., Tseng, W.C., Sha, E.M.: Towards energy efficient hybrid on-chip scratch pad memory with non-volatile memory. In: Design, Automation & Test in Europe Conference & Exhibition, pp. 1–6 (2011) Hu, J., Zhuge, X.C.Q., Tseng, W.C., Sha, E.M.: Towards energy efficient hybrid on-chip scratch pad memory with non-volatile memory. In: Design, Automation & Test in Europe Conference & Exhibition, pp. 1–6 (2011)
7.
Zurück zum Zitat Joo, Y., Niu, D., Dong, X., Sun, G., Chang, N., Xie, Y.: Energy-and endurance-aware design of phase change memory caches. In: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 136–141. European Design and Automation Association (2010) Joo, Y., Niu, D., Dong, X., Sun, G., Chang, N., Xie, Y.: Energy-and endurance-aware design of phase change memory caches. In: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 136–141. European Design and Automation Association (2010)
8.
Zurück zum Zitat Kandemir, M., Irwin, M.J., Chen, G., Kolcu, I.: compiler-guided leakage optimization for banked scratch-pad memories. Very Large Scale Integr. (VLSI) Syst. IEEE Trans. 13(10), 1136–1146 (2005)CrossRef Kandemir, M., Irwin, M.J., Chen, G., Kolcu, I.: compiler-guided leakage optimization for banked scratch-pad memories. Very Large Scale Integr. (VLSI) Syst. IEEE Trans. 13(10), 1136–1146 (2005)CrossRef
9.
Zurück zum Zitat Mangalagiri, P., Sarpatwari, K., Yanamandra, A., Narayanan, V., Xie, Y., Irwin, M.J., Karim, O.A.: A low-power phase change memory based hybrid cache architecture. In: Proceedings of the 18th ACM Great Lakes symposium on VLSI, pp. 395–398. ACM (2008) Mangalagiri, P., Sarpatwari, K., Yanamandra, A., Narayanan, V., Xie, Y., Irwin, M.J., Karim, O.A.: A low-power phase change memory based hybrid cache architecture. In: Proceedings of the 18th ACM Great Lakes symposium on VLSI, pp. 395–398. ACM (2008)
10.
Zurück zum Zitat Qiu, K., Zhao, M., Fu, C., Shi, L., Xue, C.J.: Migration-aware loop retiming for stt-ram based hybrid cache for embedded systems. In: 2013 IEEE 24th International Conference on Application-Specific Systems, Architectures and Processors (ASAP), pp. 83–86. IEEE (2013) Qiu, K., Zhao, M., Fu, C., Shi, L., Xue, C.J.: Migration-aware loop retiming for stt-ram based hybrid cache for embedded systems. In: 2013 IEEE 24th International Conference on Application-Specific Systems, Architectures and Processors (ASAP), pp. 83–86. IEEE (2013)
11.
Zurück zum Zitat Takase, H., Tomiyama, H., Takada, H.: Partitioning and allocation of scratch-pad memory for energy minimization of priority-based preemptive multi-task systems. IEICE Trans Fundam. Electron. Commun. Comput. Sci. 94(10), 1954–1964 (2011)CrossRef Takase, H., Tomiyama, H., Takada, H.: Partitioning and allocation of scratch-pad memory for energy minimization of priority-based preemptive multi-task systems. IEICE Trans Fundam. Electron. Commun. Comput. Sci. 94(10), 1954–1964 (2011)CrossRef
12.
Zurück zum Zitat Udayakumaran, S., Barua, R.: Compiler-decided dynamic memory allocation for scratch-pad based embedded systems. In: Proceedings of the 2003 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, pp. 276–286. ACM (2003) Udayakumaran, S., Barua, R.: Compiler-decided dynamic memory allocation for scratch-pad based embedded systems. In: Proceedings of the 2003 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, pp. 276–286. ACM (2003)
13.
Zurück zum Zitat Wang, G., Ju, L., Jia, Z., Li, X.: Data allocation for embedded systems with hybrid on-chip scratchpad and caches. In: 2013 IEEE 10th International Conference on Embedded and Ubiquitous Computing (HPCC_EUC), pp. 366–373 (2013) Wang, G., Ju, L., Jia, Z., Li, X.: Data allocation for embedded systems with hybrid on-chip scratchpad and caches. In: 2013 IEEE 10th International Conference on Embedded and Ubiquitous Computing (HPCC_EUC), pp. 366–373 (2013)
14.
Zurück zum Zitat Wang, J., Dong, X., Xie, Y., Jouppi, N.P.: Endurance-aware cache line management for non-volatile caches. ACM Trans. Archit. Code Optim. (TACO) 11(1), 4 (2014) Wang, J., Dong, X., Xie, Y., Jouppi, N.P.: Endurance-aware cache line management for non-volatile caches. ACM Trans. Archit. Code Optim. (TACO) 11(1), 4 (2014)
15.
Zurück zum Zitat Wu, X., Li, J., Zhang, L., Speight, E., Rajamony, R., Xie, Y.: Hybrid cache architecture with disparate memory technologies. In: ACM SIGARCH Computer Architecture News, vol. 37, pp. 34–45. ACM (2009) Wu, X., Li, J., Zhang, L., Speight, E., Rajamony, R., Xie, Y.: Hybrid cache architecture with disparate memory technologies. In: ACM SIGARCH Computer Architecture News, vol. 37, pp. 34–45. ACM (2009)
16.
Zurück zum Zitat Zhang, J., Deng, T., Gao, Q., Zhuge, Q., Sha, E.H.M.: Optimizing data placement of loops for energy minimization with multiple types of memories. J. Signal Process. Syst. 72(3), 151–164 (2013)CrossRef Zhang, J., Deng, T., Gao, Q., Zhuge, Q., Sha, E.H.M.: Optimizing data placement of loops for energy minimization with multiple types of memories. J. Signal Process. Syst. 72(3), 151–164 (2013)CrossRef
Metadaten
Titel
Optimization of Data Allocation on CMP Embedded System with Data Migration
verfasst von
Jiayi Du
Renfa Li
Zheng Xiao
Zhao Tong
Li Zhang
Publikationsdatum
13.06.2016
Verlag
Springer US
Erschienen in
International Journal of Parallel Programming / Ausgabe 4/2017
Print ISSN: 0885-7458
Elektronische ISSN: 1573-7640
DOI
https://doi.org/10.1007/s10766-016-0436-3

Weitere Artikel der Ausgabe 4/2017

International Journal of Parallel Programming 4/2017 Zur Ausgabe