Skip to main content
Erschienen in: Journal of Electronic Testing 2/2017

15.03.2017

Power-Aware Optimization of SoC Test Schedules Using Voltage and Frequency Scaling

verfasst von: Vijay Sheshadri, Vishwani D. Agrawal, Prathima Agrawal

Erschienen in: Journal of Electronic Testing | Ausgabe 2/2017

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

With shrinking device sizes, System-on-Chip (SoC) cores are growing in number and complexity. This has led to high volumes of test data and long test times. Therefore, reducing test cost by minimizing the overall test time is one of the main goals of SoC testing. To efficiently manage test resources and power dissipation, tests for the SoC cores are arranged into test schedules. Traditional SoC test methods assume a constant test frequency and supply voltage (V D D ) for the entire test schedule. However, test power and test time can be regulated by varying V D D and test clock frequency to optimize SoC test schedules for a given power budget. The research presented in this paper focuses on power-aware optimization of SoC test schedules to minimize test time by scaling the supply voltage and test clock rate. This scaling can be on a per session basis (in case of session-based test schedules) or dynamically (in case of sessionless test schedules). Exact and heuristic algorithms for solving the optimization problem are discussed. These algorithms are implemented and applied to several SoC benchmarks. Results show a significant reduction in SoC test time over the conventional test schedules where V D D and clock are fixed at given nominal values.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Literatur
1.
Zurück zum Zitat Beigné E, Clermidy F, Miermont S, Vivet P (2008) Dynamic voltage and frequency scaling architecture for units integration within a GALS NoC. In: Proceeding Second ACM/IEEE international symp. networks-on-chip, pp 129–138 Beigné E, Clermidy F, Miermont S, Vivet P (2008) Dynamic voltage and frequency scaling architecture for units integration within a GALS NoC. In: Proceeding Second ACM/IEEE international symp. networks-on-chip, pp 129–138
2.
Zurück zum Zitat Chang J T Y, McCluskey E J (1996) Detecting delay flaws by very-low-voltage testing. In: Proceeding International test conf, pp 367–376 Chang J T Y, McCluskey E J (1996) Detecting delay flaws by very-low-voltage testing. In: Proceeding International test conf, pp 367–376
3.
Zurück zum Zitat Chang J T Y, McCluskey E J (1996) Quantitative analysis of very-low-voltage testing. In: Proceeding 14th IEEE VLSI test symp., pp 332–337 Chang J T Y, McCluskey E J (1996) Quantitative analysis of very-low-voltage testing. In: Proceeding 14th IEEE VLSI test symp., pp 332–337
4.
Zurück zum Zitat Chou R M, Saluja K K, Agrawal V D (1994) Power constraint scheduling of tests. In: Proceeding 7th International conference VLSI design, pp 271–274 Chou R M, Saluja K K, Agrawal V D (1994) Power constraint scheduling of tests. In: Proceeding 7th International conference VLSI design, pp 271–274
5.
Zurück zum Zitat Chou R M, Saluja K K, Agrawal V D (1997) Scheduling tests for VLSI systems under power constraints. IEEE Trans VLSI Syst 5(2):175–185CrossRef Chou R M, Saluja K K, Agrawal V D (1997) Scheduling tests for VLSI systems under power constraints. IEEE Trans VLSI Syst 5(2):175–185CrossRef
6.
Zurück zum Zitat Craig G L, Kime C R, Saluja K K (1988) Test scheduling and control for VLSI built-in self-test. IEEE Trans Comput 37(9):1099–1109CrossRef Craig G L, Kime C R, Saluja K K (1988) Test scheduling and control for VLSI built-in self-test. IEEE Trans Comput 37(9):1099–1109CrossRef
7.
Zurück zum Zitat Devanathan V R, Ravikumar C P, Mehrotra R, Kamakoti V (2007) PMScan: a power-managed scan for simultaneous reduction of dynamic and leakage power during scan test. In: Proceeding International test conf., pp 1–10 Devanathan V R, Ravikumar C P, Mehrotra R, Kamakoti V (2007) PMScan: a power-managed scan for simultaneous reduction of dynamic and leakage power during scan test. In: Proceeding International test conf., pp 1–10
8.
Zurück zum Zitat Engelke P, Polian I, Renovell M, Seshadri B, Becker B (2004) The pros and cons of very-low-voltage testing: an analysis based on resistive bridging faults. In: Proceeding 22nd IEEE VLSI test symp., pp 171–178 Engelke P, Polian I, Renovell M, Seshadri B, Becker B (2004) The pros and cons of very-low-voltage testing: an analysis based on resistive bridging faults. In: Proceeding 22nd IEEE VLSI test symp., pp 171–178
9.
Zurück zum Zitat Girard P, Nicolici N, Wen X (2010) Power-aware testing and test strategies for low power devices. Springer Girard P, Nicolici N, Wen X (2010) Power-aware testing and test strategies for low power devices. Springer
10.
Zurück zum Zitat Guzdial M (2016) Introduction to computing and programming in python, 4th edn. Prentice-Hall Guzdial M (2016) Introduction to computing and programming in python, 4th edn. Prentice-Hall
11.
Zurück zum Zitat Hao H, McCluskey E J (1993) Very-low-voltage testing for weak CMOS logic ICs. In: Proceeding international test conf., pp 275–284 Hao H, McCluskey E J (1993) Very-low-voltage testing for weak CMOS logic ICs. In: Proceeding international test conf., pp 275–284
12.
Zurück zum Zitat Harmanani H M, Salamy H A (2006) A simulated annealing algorithm for system-on-chip test scheduling with power and precedence constraints. Int J Comput Intell Appl 6(4):511–530CrossRefMATH Harmanani H M, Salamy H A (2006) A simulated annealing algorithm for system-on-chip test scheduling with power and precedence constraints. Int J Comput Intell Appl 6(4):511–530CrossRefMATH
13.
Zurück zum Zitat Huang Y, Reddy S M, Cheng W T, Reuter P, Mukherjee N, Tsai C C, Samman O, Zaidan Y (2002) Optimal core wrapper width selection and SOC test scheduling based on 3-D bin packing algorithm. In: Proceeding International test conference, pp 74–82 Huang Y, Reddy S M, Cheng W T, Reuter P, Mukherjee N, Tsai C C, Samman O, Zaidan Y (2002) Optimal core wrapper width selection and SOC test scheduling based on 3-D bin packing algorithm. In: Proceeding International test conference, pp 74–82
16.
Zurück zum Zitat Iyengar V, Chakrabarty K (2001) Precedence-based, preemptive and power constrained test scheduling for system-on-chip. In: Proceeding 19th IEEE VLSI test symp., pp 368–374 Iyengar V, Chakrabarty K (2001) Precedence-based, preemptive and power constrained test scheduling for system-on-chip. In: Proceeding 19th IEEE VLSI test symp., pp 368–374
17.
Zurück zum Zitat Iyengar V, Chakrabarty K, Marinissen E J (2002) On using rectangle packing for SOC wrapper/TAM co-optimization. In: Proceeding 20th IEEE VLSI test symp., pp 253–258 Iyengar V, Chakrabarty K, Marinissen E J (2002) On using rectangle packing for SOC wrapper/TAM co-optimization. In: Proceeding 20th IEEE VLSI test symp., pp 253–258
18.
Zurück zum Zitat Iyengar V, Chakrabarty K, Marinissen E J (2002) Test wrapper and test access mechanism co-optimization for system-on-chip. J Electron Test Theory Appl 18:211–228CrossRef Iyengar V, Chakrabarty K, Marinissen E J (2002) Test wrapper and test access mechanism co-optimization for system-on-chip. J Electron Test Theory Appl 18:211–228CrossRef
19.
Zurück zum Zitat Kavousianos X, Chakrabarty K, Jain A, Parekhji R (2012) Time-division multiplexing for testing SoCs with DVS and multiple voltage islands. In: Proceeding European test symposium, pp 1–6 Kavousianos X, Chakrabarty K, Jain A, Parekhji R (2012) Time-division multiplexing for testing SoCs with DVS and multiple voltage islands. In: Proceeding European test symposium, pp 1–6
21.
Zurück zum Zitat Koranne S (2003) Design of reconfigurable access wrappers for embedded core based SoC test. IEEE Trans VLSI Syst 11(5):955–960CrossRef Koranne S (2003) Design of reconfigurable access wrappers for embedded core based SoC test. IEEE Trans VLSI Syst 11(5):955–960CrossRef
22.
Zurück zum Zitat Larsson E (2005) Introduction to advanced system-on-chip test design and optimization. Springer Larsson E (2005) Introduction to advanced system-on-chip test design and optimization. Springer
23.
Zurück zum Zitat Larsson E, Fujiwara H (2002) Power constrained preemptive TAM scheduling. In: Proceeding Seventh IEEE European test workshop, pp 119–126 Larsson E, Fujiwara H (2002) Power constrained preemptive TAM scheduling. In: Proceeding Seventh IEEE European test workshop, pp 119–126
24.
Zurück zum Zitat Larsson E, Peng Z (2002) An integrated framework for the design and optimization of SOC test solutions. J Electron Test Theory Appl Spec Issue Plug-and-Play Test Autom System-On-Chip 18:385–400 Larsson E, Peng Z (2002) An integrated framework for the design and optimization of SOC test solutions. J Electron Test Theory Appl Spec Issue Plug-and-Play Test Autom System-On-Chip 18:385–400
25.
Zurück zum Zitat Li J C M, Tseng C W, McCluskey E J (2001) Testing for resistive opens and stuck opens. In: Proceeding International test conf., pp 1049–1058 Li J C M, Tseng C W, McCluskey E J (2001) Testing for resistive opens and stuck opens. In: Proceeding International test conf., pp 1049–1058
26.
Zurück zum Zitat Marinissen E J (2013) Private communication Marinissen E J (2013) Private communication
28.
Zurück zum Zitat Millican S K, Saluja K K (2013) Private communication Millican S K, Saluja K K (2013) Private communication
29.
Zurück zum Zitat Millican S K, Saluja K K (2014) Optimal test scheduling formulation under power constraints with dynamic voltage and frequency scaling. J Electron Test Theory Appl 30(5):569–580CrossRef Millican S K, Saluja K K (2014) Optimal test scheduling formulation under power constraints with dynamic voltage and frequency scaling. J Electron Test Theory Appl 30(5):569–580CrossRef
30.
Zurück zum Zitat Muresan V, Wang X, Muresan V, Vladutiu M (2000) A comparison of classical scheduling approaches in power-constrained block-test scheduling. In: Proceeding International test conference, pp 882–891 Muresan V, Wang X, Muresan V, Vladutiu M (2000) A comparison of classical scheduling approaches in power-constrained block-test scheduling. In: Proceeding International test conference, pp 882–891
31.
Zurück zum Zitat Nicolici N (2013) Private communication Nicolici N (2013) Private communication
32.
Zurück zum Zitat Nose K, Sakurai T (2000) Optimization of V D D and V T H for low-power and high-speed applications. In: Proceeding Asia and South Pacific design automation conference, pp 469–474 Nose K, Sakurai T (2000) Optimization of V D D and V T H for low-power and high-speed applications. In: Proceeding Asia and South Pacific design automation conference, pp 469–474
33.
Zurück zum Zitat Pouget J, Larsson E, Peng Z, Flottes M L, Rouzeyre B (2003) An efficient approach to SoC wrapper design, TAM configuration and test scheduling. In: Proceeding IEEE European test workshop, pp 51–56 Pouget J, Larsson E, Peng Z, Flottes M L, Rouzeyre B (2003) An efficient approach to SoC wrapper design, TAM configuration and test scheduling. In: Proceeding IEEE European test workshop, pp 51–56
34.
Zurück zum Zitat Ravi S (2007) Power-aware test: challenges and solutions. In: Proceeding International test conf., pp 1–10 Ravi S (2007) Power-aware test: challenges and solutions. In: Proceeding International test conf., pp 1–10
35.
Zurück zum Zitat Sakurai T (2004) Alpha power-law MOS model. Solid-State Circ Soc Newslett 9(4):4–5CrossRef Sakurai T (2004) Alpha power-law MOS model. Solid-State Circ Soc Newslett 9(4):4–5CrossRef
36.
Zurück zum Zitat Sakurai T, Newton A R (1990) Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas. IEEE J Solid-State Circ 25(2):584–594CrossRef Sakurai T, Newton A R (1990) Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas. IEEE J Solid-State Circ 25(2):584–594CrossRef
37.
Zurück zum Zitat Samii S, Larsson E, Chakrabarty K, Peng Z (2006) Cycle-accurate test power modeling and its application to SoC test scheduling. In: Proceeding International test conf., pp 1–10 Samii S, Larsson E, Chakrabarty K, Peng Z (2006) Cycle-accurate test power modeling and its application to SoC test scheduling. In: Proceeding International test conf., pp 1–10
38.
Zurück zum Zitat Sehgal A, Chakrabarty K (2005) Test planning for the effective utilization of port-scalable testers for heterogeneous core-based SoCs. In: Proceeding IEEE International conference on CAD, pp 88–93 Sehgal A, Chakrabarty K (2005) Test planning for the effective utilization of port-scalable testers for heterogeneous core-based SoCs. In: Proceeding IEEE International conference on CAD, pp 88–93
39.
Zurück zum Zitat Sehgal A, Bahukudumbi S, Chakrabarty K (2008) Power-aware SoC test planning for effective utilization of port scalable testers. ACM Trans Des Autom Electron Syst 13(3):53–71CrossRef Sehgal A, Bahukudumbi S, Chakrabarty K (2008) Power-aware SoC test planning for effective utilization of port scalable testers. ACM Trans Des Autom Electron Syst 13(3):53–71CrossRef
40.
Zurück zum Zitat Sheshadri V (2014) Power-aware system-on-chip test optimization through frequency and voltage scaling. PhD thesis, Auburn University, ECE Department Sheshadri V (2014) Power-aware system-on-chip test optimization through frequency and voltage scaling. PhD thesis, Auburn University, ECE Department
41.
Zurück zum Zitat Sheshadri V, Agrawal V D, Agrawal P (2012) Optimal power-constrained SoC test schedules with customizable clock rates. In: Proceeding 25th IEEE system-on-chip conference, pp 271–276 Sheshadri V, Agrawal V D, Agrawal P (2012) Optimal power-constrained SoC test schedules with customizable clock rates. In: Proceeding 25th IEEE system-on-chip conference, pp 271–276
42.
Zurück zum Zitat Sheshadri V, Agrawal V D, Agrawal P (2013) Optimum test schedule for SoC with specified clock frequencies and supply voltages. In: Proceeding 26th International conference on VLSI design, pp 267–272 Sheshadri V, Agrawal V D, Agrawal P (2013) Optimum test schedule for SoC with specified clock frequencies and supply voltages. In: Proceeding 26th International conference on VLSI design, pp 267–272
43.
Zurück zum Zitat Sheshadri V, Agrawal V D, Agrawal P (2013) Power-aware SoC Test optimization through dynamic voltage and frequency scaling. In: Proceeding 21st IFIP/IEEE International conf. very large scale integration (VLSI-SoC), pp 102–107 Sheshadri V, Agrawal V D, Agrawal P (2013) Power-aware SoC Test optimization through dynamic voltage and frequency scaling. In: Proceeding 21st IFIP/IEEE International conf. very large scale integration (VLSI-SoC), pp 102–107
44.
Zurück zum Zitat Sheshadri V, Agrawal V D, Agrawal P (2013) Session-less SoC test scheduling with frequency scaling. In: Proceeding 22nd IEEE North atlantic test workshop (NATW) Sheshadri V, Agrawal V D, Agrawal P (2013) Session-less SoC test scheduling with frequency scaling. In: Proceeding 22nd IEEE North atlantic test workshop (NATW)
45.
Zurück zum Zitat Truong D N, Cheng W H, Mohsenin T, Yu Z, Jacobson A T, Landge G, Meeuwsen M J, Watnik C, Tran A T, Xiao Z, Work E W, Webb J W, Mejia P V, Baas B M (2009) A 167-processor computational platform in 65 nm CMOS. IEEE J Solid-State Circ 44(4):1130–1144CrossRef Truong D N, Cheng W H, Mohsenin T, Yu Z, Jacobson A T, Landge G, Meeuwsen M J, Watnik C, Tran A T, Xiao Z, Work E W, Webb J W, Mejia P V, Baas B M (2009) A 167-processor computational platform in 65 nm CMOS. IEEE J Solid-State Circ 44(4):1130–1144CrossRef
46.
Zurück zum Zitat Venkataramani P (2014) Reducing ATE test time by voltage and frequency scaling. PhD thesis, Auburn University, ECE Department Venkataramani P (2014) Reducing ATE test time by voltage and frequency scaling. PhD thesis, Auburn University, ECE Department
47.
Zurück zum Zitat Venkataramani P, Agrawal V D (2013) Reducing test time of power constrained test by optimal selection of supply voltage. In: Proceeding 26th International conference on VLSI design, pp 273–278 Venkataramani P, Agrawal V D (2013) Reducing test time of power constrained test by optimal selection of supply voltage. In: Proceeding 26th International conference on VLSI design, pp 273–278
48.
Zurück zum Zitat Venkataramani P, Agrawal V D (2013) Test time reduction using aynchronous clocking. In: Proceeding International test conf., Paper 15.3 Venkataramani P, Agrawal V D (2013) Test time reduction using aynchronous clocking. In: Proceeding International test conf., Paper 15.3
49.
Zurück zum Zitat Venkataramani P, Sindia S, Agrawal V D (2013) A test time theorem and its applications. In: Proceeding 14th IEEE Latin-American test workshop Venkataramani P, Sindia S, Agrawal V D (2013) A test time theorem and its applications. In: Proceeding 14th IEEE Latin-American test workshop
50.
Zurück zum Zitat Venkataramani P, Sindia S, Agrawal V D (2013) Finding best voltage and frequency to shorten power-constrained test time. In: Proceeding 31st IEEE VLSI test symp., pp 19–24 Venkataramani P, Sindia S, Agrawal V D (2013) Finding best voltage and frequency to shorten power-constrained test time. In: Proceeding 31st IEEE VLSI test symp., pp 19–24
51.
Zurück zum Zitat Venkataramani P, Sindia S, Agrawal V D (2014) A test time theorem and its applications. J Electron Test Theory Appl 30(2):229–236CrossRef Venkataramani P, Sindia S, Agrawal V D (2014) A test time theorem and its applications. J Electron Test Theory Appl 30(2):229–236CrossRef
52.
Zurück zum Zitat Zhao D, Huang R, Yoneda T, Fujiwara H (2007) Power-aware multi-frequency heterogeneous SoC test framework design with floor-ceiling packing. In: Proceeding IEEE ISCAS, pp 2942–2945 Zhao D, Huang R, Yoneda T, Fujiwara H (2007) Power-aware multi-frequency heterogeneous SoC test framework design with floor-ceiling packing. In: Proceeding IEEE ISCAS, pp 2942–2945
53.
Zurück zum Zitat Zhao D, Upadhyaya S (2003) Power constrained test scheduling with dynamically varied TAM. In: Proceeding 21st IEEE VLSI test symp., pp 273–278 Zhao D, Upadhyaya S (2003) Power constrained test scheduling with dynamically varied TAM. In: Proceeding 21st IEEE VLSI test symp., pp 273–278
54.
Zurück zum Zitat Zhao W, Cao Y (2006) New generation of predictive technology model for sub-45 nm early design exploration. IEEE Trans Electron Dev 53(11):2816–2823CrossRef Zhao W, Cao Y (2006) New generation of predictive technology model for sub-45 nm early design exploration. IEEE Trans Electron Dev 53(11):2816–2823CrossRef
55.
Zurück zum Zitat Zorian Y (1993) A distributed control scheme for complex VLSI devices. In: Proceeding 11th IEEE VLSI test symp., pp 4–9 Zorian Y (1993) A distributed control scheme for complex VLSI devices. In: Proceeding 11th IEEE VLSI test symp., pp 4–9
56.
Zurück zum Zitat Zou W, Reddy S M, Pomeranz I, Huang Y (2003) SOC Test scheduling using simulated annealing. In: Proceeding 21st IEEE VLSI test symp., pp 325–330 Zou W, Reddy S M, Pomeranz I, Huang Y (2003) SOC Test scheduling using simulated annealing. In: Proceeding 21st IEEE VLSI test symp., pp 325–330
Metadaten
Titel
Power-Aware Optimization of SoC Test Schedules Using Voltage and Frequency Scaling
verfasst von
Vijay Sheshadri
Vishwani D. Agrawal
Prathima Agrawal
Publikationsdatum
15.03.2017
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 2/2017
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-017-5652-2

Weitere Artikel der Ausgabe 2/2017

Journal of Electronic Testing 2/2017 Zur Ausgabe

Neuer Inhalt