Skip to main content

2019 | OriginalPaper | Buchkapitel

5. Probabilistic Error Analysis of Approximate Adders and Multipliers

verfasst von : Sana Mazahir, Muhammad Kamran Ayub, Osman Hasan, Muhammad Shafique

Erschienen in: Approximate Circuits

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Approximate adders and multipliers are widely being advocated to be used in error resilient applications. A very important performance metric in this regard is the probability of occurrence of error in these arithmetic circuits as this allows us to choose the most efficient configuration of an adder or multiplier for a given application. In this chapter, we present an analytical error analysis approach for approximate adders, which comprise of subadder units, and recursive approximate multipliers with approximate partial products. We also derive probability mass function (PMF) of error for both of the considered adder and multiplier models. The results show that the proposed analysis serves as an effective tool for predicting, evaluating, and comparing the accuracy of various approximate adders and multipliers. For illustration purposes, we also show that the comparative performance of different approximate adders and multipliers can be correctly predicted in practical applications of image processing.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Fußnoten
1
Another class of inexact computing is probabilistic computing, in which probabilistic switches are used, so that, in addition to the random inputs, the circuit’s function is also random [22].
 
Literatur
1.
Zurück zum Zitat Xu Q, Kim NS, Mytkowicz T (2016) Approximate computing: a survey. IEEE Des Test 33(1):8–22CrossRef Xu Q, Kim NS, Mytkowicz T (2016) Approximate computing: a survey. IEEE Des Test 33(1):8–22CrossRef
2.
Zurück zum Zitat Shafique M, Hafiz R, Rehman S, El-Harouni W, Henkel J (2016) Cross-layer approximate computing: from logic to architectures. In: Proceedings of 53rd IEEE/ACM design automation conference Shafique M, Hafiz R, Rehman S, El-Harouni W, Henkel J (2016) Cross-layer approximate computing: from logic to architectures. In: Proceedings of 53rd IEEE/ACM design automation conference
3.
Zurück zum Zitat Zhang Q, Wang T, Tian Y, Yuan F, Xu Q (2015) ApproxANN: an approximate computing framework for artificial neural network. In: Proceedings of the 2015 design, automation & test in Europe conference & exhibition. EDA Consortium, San Jose, pp 701–706 Zhang Q, Wang T, Tian Y, Yuan F, Xu Q (2015) ApproxANN: an approximate computing framework for artificial neural network. In: Proceedings of the 2015 design, automation & test in Europe conference & exhibition. EDA Consortium, San Jose, pp 701–706
4.
Zurück zum Zitat Venkataramani S, Sabne A, Kozhikkottu V, Roy K, Raghunathan A (2012) SALSA: systematic logic synthesis of approximate circuits. In: Proceedings of 49th IEEE/ACM design automation conference, pp 796–801 Venkataramani S, Sabne A, Kozhikkottu V, Roy K, Raghunathan A (2012) SALSA: systematic logic synthesis of approximate circuits. In: Proceedings of 49th IEEE/ACM design automation conference, pp 796–801
5.
Zurück zum Zitat Ranjan A, Raha A, Venkataramani S, Roy K, Raghunathan A (2014) ASLAN: synthesis of approximate sequential circuits. In: Proceedings of design, automation test Europe conference & exhibition, p 364 Ranjan A, Raha A, Venkataramani S, Roy K, Raghunathan A (2014) ASLAN: synthesis of approximate sequential circuits. In: Proceedings of design, automation test Europe conference & exhibition, p 364
6.
Zurück zum Zitat Shafique M, Ahmad W, Hafiz R, Henkel J (2015) A low latency generic accuracy configurable adder. In: Proceedings of 52nd annual design automation conference, p 86 Shafique M, Ahmad W, Hafiz R, Henkel J (2015) A low latency generic accuracy configurable adder. In: Proceedings of 52nd annual design automation conference, p 86
7.
Zurück zum Zitat Kahng AB, Kang S (2012) Accuracy-configurable adder for approximate arithmetic designs. In: Proceedings of 49th annual design automation conference, pp 820–825 Kahng AB, Kang S (2012) Accuracy-configurable adder for approximate arithmetic designs. In: Proceedings of 49th annual design automation conference, pp 820–825
8.
Zurück zum Zitat Du K, Varman P, Mohanram K (2012) High performance reliable variable latency carry select addition. In: Proceedings of design, automation test Europe conference & exhibition, pp 1257–1262 Du K, Varman P, Mohanram K (2012) High performance reliable variable latency carry select addition. In: Proceedings of design, automation test Europe conference & exhibition, pp 1257–1262
9.
Zurück zum Zitat Gupta V, Mohapatra D, Raghunathan A, Roy K (2013) Low-power digital signal processing using approximate adders. IEEE Trans Comput Aided Des Integr Circuits Syst 32(1):124–137CrossRef Gupta V, Mohapatra D, Raghunathan A, Roy K (2013) Low-power digital signal processing using approximate adders. IEEE Trans Comput Aided Des Integr Circuits Syst 32(1):124–137CrossRef
10.
Zurück zum Zitat Bhardwaj K, Mane PS (2013) ACMA: accuracy-configurable multiplier architecture for error-resilient system-on-chip. In: Proceedings of 8th international workshop on reconfigurable communication-centric systems-on-chip, pp 1–6 Bhardwaj K, Mane PS (2013) ACMA: accuracy-configurable multiplier architecture for error-resilient system-on-chip. In: Proceedings of 8th international workshop on reconfigurable communication-centric systems-on-chip, pp 1–6
11.
Zurück zum Zitat Kulkarni P, Gupta P, Ercegovac MD (2011) Trading accuracy for power in a multiplier architecture. J Low Power Electron 7(4):490–501CrossRef Kulkarni P, Gupta P, Ercegovac MD (2011) Trading accuracy for power in a multiplier architecture. J Low Power Electron 7(4):490–501CrossRef
12.
Zurück zum Zitat Chen I-C, Hayes JP (2015) Low-area and high-speed approximate matrix-vector multiplier. In: IEEE 18th international symposium design diagnostics of electronic circuits & systems, pp 23–28 Chen I-C, Hayes JP (2015) Low-area and high-speed approximate matrix-vector multiplier. In: IEEE 18th international symposium design diagnostics of electronic circuits & systems, pp 23–28
13.
Zurück zum Zitat Momeni A, Han J, Montuschi P, Lombardi F (2015) Design and analysis of approximate compressors for multiplication. IEEE Trans Comput 64(4):984–994MathSciNetCrossRef Momeni A, Han J, Montuschi P, Lombardi F (2015) Design and analysis of approximate compressors for multiplication. IEEE Trans Comput 64(4):984–994MathSciNetCrossRef
14.
Zurück zum Zitat Gupta V, Mohapatra D, Park SP, Raghunathan A, Roy K (2011) IMPACT: imprecise adders for low-power approximate computing. In: Proceedings of 17th IEEE/ACM international symposium low-power electronics and design, pp 409–414 Gupta V, Mohapatra D, Park SP, Raghunathan A, Roy K (2011) IMPACT: imprecise adders for low-power approximate computing. In: Proceedings of 17th IEEE/ACM international symposium low-power electronics and design, pp 409–414
15.
Zurück zum Zitat Rehman S, El-Harouni W, Shafique M, Kumar A, Henkel J (2016) Architectural-space exploration of approximate multipliers. In: Proceedings of international conference on computer-aided design, pp 1–6 Rehman S, El-Harouni W, Shafique M, Kumar A, Henkel J (2016) Architectural-space exploration of approximate multipliers. In: Proceedings of international conference on computer-aided design, pp 1–6
16.
Zurück zum Zitat Ma J, Man K, Krilavicius T, Guan S, Jeong T (2011) Implementation of high performance multipliers based on approximate compressor design. In: Proceedings of international conference electrical and control technology Ma J, Man K, Krilavicius T, Guan S, Jeong T (2011) Implementation of high performance multipliers based on approximate compressor design. In: Proceedings of international conference electrical and control technology
17.
Zurück zum Zitat Zhu N, Goh WL, Yeo KS (2009) An enhanced low-power high-speed adder for error-tolerant application. In: Proceedings of 12th international symposium on integrated circuits, pp 69–72 Zhu N, Goh WL, Yeo KS (2009) An enhanced low-power high-speed adder for error-tolerant application. In: Proceedings of 12th international symposium on integrated circuits, pp 69–72
18.
Zurück zum Zitat Ye R, Wang T, Yuan F, Kumar R, Xu Q (2013) On reconfiguration-oriented approximate adder design and its application. In: Proceedings of international conference computing-aided Design, pp 48–54 Ye R, Wang T, Yuan F, Kumar R, Xu Q (2013) On reconfiguration-oriented approximate adder design and its application. In: Proceedings of international conference computing-aided Design, pp 48–54
19.
Zurück zum Zitat Hashemi S, Bahar R, Reda S (2015) Drum: a dynamic range unbiased multiplier for approximate applications. In: Proceedings of the IEEE/ACM international conference on computer-aided design. IEEE Press, Piscataway, pp 418–425 Hashemi S, Bahar R, Reda S (2015) Drum: a dynamic range unbiased multiplier for approximate applications. In: Proceedings of the IEEE/ACM international conference on computer-aided design. IEEE Press, Piscataway, pp 418–425
20.
Zurück zum Zitat Nepal K, Li Y, Bahar R, Reda S (2014) Abacus: a technique for automated behavioral synthesis of approximate computing circuits. In: Proceedings of the conference on design, automation & test in Europe. European Design and Automation Association, Leuven, p 361 Nepal K, Li Y, Bahar R, Reda S (2014) Abacus: a technique for automated behavioral synthesis of approximate computing circuits. In: Proceedings of the conference on design, automation & test in Europe. European Design and Automation Association, Leuven, p 361
21.
Zurück zum Zitat Mazahir S, Hasan O, Hafiz R, Shafique M, Henkel J (2017) Probabilistic error modeling for approximate adders. IEEE Trans Comput 66(3):515–530MathSciNetCrossRef Mazahir S, Hasan O, Hafiz R, Shafique M, Henkel J (2017) Probabilistic error modeling for approximate adders. IEEE Trans Comput 66(3):515–530MathSciNetCrossRef
22.
Zurück zum Zitat Liang J, Han J, Lombardi F (2013) New metrics for the reliability of approximate and probabilistic adders. IEEE Trans Comput 62(9):1760–1771MathSciNetCrossRef Liang J, Han J, Lombardi F (2013) New metrics for the reliability of approximate and probabilistic adders. IEEE Trans Comput 62(9):1760–1771MathSciNetCrossRef
23.
Zurück zum Zitat Ayub MK, Hasan O, Shafique M (2017) Statistical error analysis of low power approximate adders. In: Design automation conference. ACM, New York Ayub MK, Hasan O, Shafique M (2017) Statistical error analysis of low power approximate adders. In: Design automation conference. ACM, New York
24.
Zurück zum Zitat Chan W-TJ, Kahng A, Kang S, Kumar R, Sartori J (2013) Statistical analysis and modeling for error composition in approximate computation circuits. In: Proceedings of IEEE 31st international conference computing design, pp 47–53 Chan W-TJ, Kahng A, Kang S, Kumar R, Sartori J (2013) Statistical analysis and modeling for error composition in approximate computation circuits. In: Proceedings of IEEE 31st international conference computing design, pp 47–53
25.
Zurück zum Zitat Venkatesan R, Agarwal A, Roy K, Raghunathan A (2011) MACACO: modeling and analysis of circuits for approximate computing. In: Proceedings of international conference on computer-aided design, pp 667–673 Venkatesan R, Agarwal A, Roy K, Raghunathan A (2011) MACACO: modeling and analysis of circuits for approximate computing. In: Proceedings of international conference on computer-aided design, pp 667–673
26.
Zurück zum Zitat Han J, Orshansky M (2013) Approximate computing: an emerging paradigm for energy-efficient design. In: 18th IEEE European test symposium, pp 1–6 Han J, Orshansky M (2013) Approximate computing: an emerging paradigm for energy-efficient design. In: 18th IEEE European test symposium, pp 1–6
27.
Zurück zum Zitat Mazahir S, Hasan O, Shafique M (2017) Adaptive approximate computing in arithmetic datapaths. IEEE Design Test 35: 65–74CrossRef Mazahir S, Hasan O, Shafique M (2017) Adaptive approximate computing in arithmetic datapaths. IEEE Design Test 35: 65–74CrossRef
28.
Zurück zum Zitat Almurib HA, Kumar T, Lombardi F (2016) Inexact designs for approximate low power addition by cell replacement. In: Design, automation & test in Europe. IEEE, Piscataway, pp 660–665 Almurib HA, Kumar T, Lombardi F (2016) Inexact designs for approximate low power addition by cell replacement. In: Design, automation & test in Europe. IEEE, Piscataway, pp 660–665
29.
Zurück zum Zitat Mazahir S, Hasan O, Hafiz R, Shafique M (2017) Probabilistic error analysis of approximate recursive multipliers. IEEE Trans Comput 66(11):1982–1990MathSciNetCrossRef Mazahir S, Hasan O, Hafiz R, Shafique M (2017) Probabilistic error analysis of approximate recursive multipliers. IEEE Trans Comput 66(11):1982–1990MathSciNetCrossRef
30.
Zurück zum Zitat Lin C-H, Lin C (2013) High accuracy approximate multiplier with error correction. In: Proceedings of IEEE 31st international conference computing design, pp 33–38 Lin C-H, Lin C (2013) High accuracy approximate multiplier with error correction. In: Proceedings of IEEE 31st international conference computing design, pp 33–38
31.
Zurück zum Zitat Snigdha FS, Sengupta D, Hu J, Sapatnekar SS (2016) Optimal design of jpeg hardware under the approximate computing paradigm. In: Design automation conference. ACM, New York, pp 106:1–106:6 Snigdha FS, Sengupta D, Hu J, Sapatnekar SS (2016) Optimal design of jpeg hardware under the approximate computing paradigm. In: Design automation conference. ACM, New York, pp 106:1–106:6
32.
Zurück zum Zitat Mazahir S, Hasan O, Hafiz R, Shafique M, Henkel J (2016) An area-efficient consolidated configurable error correction for approximate hardware accelerators. In: Proceedings of IEEE/ACM 53rd design automation conference Mazahir S, Hasan O, Hafiz R, Shafique M, Henkel J (2016) An area-efficient consolidated configurable error correction for approximate hardware accelerators. In: Proceedings of IEEE/ACM 53rd design automation conference
Metadaten
Titel
Probabilistic Error Analysis of Approximate Adders and Multipliers
verfasst von
Sana Mazahir
Muhammad Kamran Ayub
Osman Hasan
Muhammad Shafique
Copyright-Jahr
2019
DOI
https://doi.org/10.1007/978-3-319-99322-5_5

Neuer Inhalt