Skip to main content
Erschienen in: Journal of Intelligent Manufacturing 1/2020

17.07.2018

Recurrent feature-incorporated convolutional neural network for virtual metrology of the chemical mechanical planarization process

verfasst von: Ki Bum Lee, Chang Ouk Kim

Erschienen in: Journal of Intelligent Manufacturing | Ausgabe 1/2020

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

In semiconductor manufacturing, the chemical mechanical planarization (CMP) process produces higher thickness variability in the edge area of the wafer than that in the center area due to the characteristics of the polishing operation. To address this problem, advanced CMP equipment includes a function that controls the removal rate of each area. However, to take full advantage of this capability, effective advanced process control systems must be implemented with a virtual metrology (VM) model. However, the prediction performance of the VM model often deteriorates due to process drift. Here, we present a deep learning-based VM model that demonstrates high performance in the presence of nonlinear process drift. The proposed model combines a recurrent neural network and a convolutional neural network to extract time-dependent and time-independent features. A two-stage model training method is proposed that alternately updates the weights of each network to improve prediction performance. In the experiments using on-site CMP process data, the performance of the deep learning models exceeded that of standard machine learning models. The proposed model showed an 8.48% decrease in process variability relative to the best-performing machine learning model, which was elastic nets.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
Zurück zum Zitat Goodfellow, I., Pouget-Abadie, J., Mirza, M., Xu, B., Warde-Farley, D., Ozair, S., Courville, A., & Bengio, Y. (2014). Generative adversarial nets. In Proceedings of neural information processing systems (pp. 2672–2680). Goodfellow, I., Pouget-Abadie, J., Mirza, M., Xu, B., Warde-Farley, D., Ozair, S., Courville, A., & Bengio, Y. (2014). Generative adversarial nets. In Proceedings of neural information processing systems (pp. 2672–2680).
Zurück zum Zitat Haeusser, P., Mordvintsev, A., & Cremers, D. (2017). Learning by association-a versatile semi-supervised training method for neural networks. In Proceedings of IEEE conference on computer vision and pattern recognition. arXiv preprint arXiv:1706.00909. Haeusser, P., Mordvintsev, A., & Cremers, D. (2017). Learning by association-a versatile semi-supervised training method for neural networks. In Proceedings of IEEE conference on computer vision and pattern recognition. arXiv preprint arXiv:​1706.​00909.
Zurück zum Zitat Hsieh, Y. S., Cheng, F. T., Huang, H. C., Wang, C. R., Wang, S. C., & Yang, H. C. (2013). VM-based baseline predictive maintenance scheme. IEEE Transactions on Semiconductor Manufacturing,26(1), 132–144.CrossRef Hsieh, Y. S., Cheng, F. T., Huang, H. C., Wang, C. R., Wang, S. C., & Yang, H. C. (2013). VM-based baseline predictive maintenance scheme. IEEE Transactions on Semiconductor Manufacturing,26(1), 132–144.CrossRef
Zurück zum Zitat Jebri, M. A., El Adel, E. M., Graton, G., Ouladsine, M., & Pinaton, J. (2017). Virtual metrology applied in run-to-run control for a chemical mechanical planarization process. Journal of Physics: Conference Series,783, 012042. Jebri, M. A., El Adel, E. M., Graton, G., Ouladsine, M., & Pinaton, J. (2017). Virtual metrology applied in run-to-run control for a chemical mechanical planarization process. Journal of Physics: Conference Series,783, 012042.
Zurück zum Zitat Kang, P., Kim, D., & Cho, S. (2016). Semi-supervised support vector regression based on self-training with label uncertainty: An application to virtual metrology in semiconductor manufacturing. Expert Systems with Applications,51, 85–106.CrossRef Kang, P., Kim, D., & Cho, S. (2016). Semi-supervised support vector regression based on self-training with label uncertainty: An application to virtual metrology in semiconductor manufacturing. Expert Systems with Applications,51, 85–106.CrossRef
Zurück zum Zitat Kang, P., Kim, D., Lee, H. J., Doh, S., & Cho, S. (2011). Virtual metrology for run-to-run control in semiconductor manufacturing. Expert Systems with Applications,38(3), 2508–2522.CrossRef Kang, P., Kim, D., Lee, H. J., Doh, S., & Cho, S. (2011). Virtual metrology for run-to-run control in semiconductor manufacturing. Expert Systems with Applications,38(3), 2508–2522.CrossRef
Zurück zum Zitat Khan, A. A., Moyne, J. R., & Tilbury, D. M. (2008). Virtual metrology and feedback control for semiconductor manufacturing processes using recursive partial least squares. Journal of Process Control,18(10), 961–974.CrossRef Khan, A. A., Moyne, J. R., & Tilbury, D. M. (2008). Virtual metrology and feedback control for semiconductor manufacturing processes using recursive partial least squares. Journal of Process Control,18(10), 961–974.CrossRef
Zurück zum Zitat Kim, M., Kang, S., Lee, J., Cho, H., Cho, S., & Park, J. S. (2017). Virtual metrology for copper-clad laminate manufacturing. Computers & Industrial Engineering,109, 280–287.CrossRef Kim, M., Kang, S., Lee, J., Cho, H., Cho, S., & Park, J. S. (2017). Virtual metrology for copper-clad laminate manufacturing. Computers & Industrial Engineering,109, 280–287.CrossRef
Zurück zum Zitat Ko, H. H., Kim, J., Park, S. H., Baek, J. G., & Kim, S. S. (2012). Advanced semiconductor fabrication process control using dual filter exponentially weighted moving average. Journal of Intelligent Manufacturing,23(3), 443–455.CrossRef Ko, H. H., Kim, J., Park, S. H., Baek, J. G., & Kim, S. S. (2012). Advanced semiconductor fabrication process control using dual filter exponentially weighted moving average. Journal of Intelligent Manufacturing,23(3), 443–455.CrossRef
Zurück zum Zitat Krizhevsky, A., Sutskever, I., & Hinton, G. E. (2012). Imagenet classification with deep convolutional neural networks. In Proceedings of neural information processing systems (pp. 1097–1105). Krizhevsky, A., Sutskever, I., & Hinton, G. E. (2012). Imagenet classification with deep convolutional neural networks. In Proceedings of neural information processing systems (pp. 1097–1105).
Zurück zum Zitat Lee, K. B., Cheon, S., & Kim, C. O. (2017). A convolutional neural network for fault classification and diagnosis in semiconductor manufacturing processes. IEEE Transactions on Semiconductor Manufacturing,30(2), 135–142.CrossRef Lee, K. B., Cheon, S., & Kim, C. O. (2017). A convolutional neural network for fault classification and diagnosis in semiconductor manufacturing processes. IEEE Transactions on Semiconductor Manufacturing,30(2), 135–142.CrossRef
Zurück zum Zitat Lee, S. K., Kang, P., & Cho, S. (2014). Probabilistic local reconstruction for k-NN regression and its application to virtual metrology in semiconductor manufacturing. Neurocomputing,131, 427–439.CrossRef Lee, S. K., Kang, P., & Cho, S. (2014). Probabilistic local reconstruction for k-NN regression and its application to virtual metrology in semiconductor manufacturing. Neurocomputing,131, 427–439.CrossRef
Zurück zum Zitat Lenz, B., Barak, B., Mührwald, J., & Leicht, C. (2013). Virtual metrology in semiconductor manufacturing by means of predictive machine learning models. In 12th IEEE international conference on machine learning and applications (Vol. 2, pp. 174–177). IEEE. Lenz, B., Barak, B., Mührwald, J., & Leicht, C. (2013). Virtual metrology in semiconductor manufacturing by means of predictive machine learning models. In 12th IEEE international conference on machine learning and applications (Vol. 2, pp. 174–177). IEEE.
Zurück zum Zitat Lipton, Z. C., Berkowitz, J., & Elkan, C. (2015). A critical review of recurrent neural networks for sequence learning. arXiv preprint arXiv:1506.00019. Lipton, Z. C., Berkowitz, J., & Elkan, C. (2015). A critical review of recurrent neural networks for sequence learning. arXiv preprint arXiv:​1506.​00019.
Zurück zum Zitat Luo, M., Yan, H. C., Hu, B., Zhou, J. H., & Pang, C. K. (2015). A data-driven two-stage maintenance framework for degradation prediction in semiconductor manufacturing industries. Computers & Industrial Engineering,85, 414–422.CrossRef Luo, M., Yan, H. C., Hu, B., Zhou, J. H., & Pang, C. K. (2015). A data-driven two-stage maintenance framework for degradation prediction in semiconductor manufacturing industries. Computers & Industrial Engineering,85, 414–422.CrossRef
Zurück zum Zitat May, G. S., & Spanos, C. J. (2006). Fundamentals of semiconductor manufacturing and process control. Hoboken, NJ: Wiley.CrossRef May, G. S., & Spanos, C. J. (2006). Fundamentals of semiconductor manufacturing and process control. Hoboken, NJ: Wiley.CrossRef
Zurück zum Zitat Melhem, M., Ananou, B., Ouladsine, M., & Pinaton, J. (2016). Regression methods for predicting the product’s quality in the semiconductor manufacturing process. IFAC-PapersOnLine,49(12), 83–88.CrossRef Melhem, M., Ananou, B., Ouladsine, M., & Pinaton, J. (2016). Regression methods for predicting the product’s quality in the semiconductor manufacturing process. IFAC-PapersOnLine,49(12), 83–88.CrossRef
Zurück zum Zitat Moyne, J., Del Castillo, E., & Hurwitz, A. M. (2001). Run-to-run control in semiconductor manufacturing. New York: CRC Press LLC. Moyne, J., Del Castillo, E., & Hurwitz, A. M. (2001). Run-to-run control in semiconductor manufacturing. New York: CRC Press LLC.
Zurück zum Zitat Moyne, J., Schulze, B., Iskandar, J., & Armacost, M. (2016). Next generation advanced process control: Leveraging big data and prediction. In 27th IEEE international conference on advanced semiconductor manufacturing (pp. 191–196). IEEE. Moyne, J., Schulze, B., Iskandar, J., & Armacost, M. (2016). Next generation advanced process control: Leveraging big data and prediction. In 27th IEEE international conference on advanced semiconductor manufacturing (pp. 191–196). IEEE.
Zurück zum Zitat Nakata, K., Orihara, R., Mizuoka, Y., & Takagi, K. (2017). A comprehensive big-data-based monitoring system for yield enhancement in semiconductor manufacturing. IEEE Transactions on Semiconductor Manufacturing,30(4), 339–344.CrossRef Nakata, K., Orihara, R., Mizuoka, Y., & Takagi, K. (2017). A comprehensive big-data-based monitoring system for yield enhancement in semiconductor manufacturing. IEEE Transactions on Semiconductor Manufacturing,30(4), 339–344.CrossRef
Zurück zum Zitat Pampuri, S., Schirru, A., Fazio, G., & De Nicolao, G. (2011). Multilevel lasso applied to virtual metrology in semiconductor manufacturing. In 2011 IEEE international conference on automation science and engineering (pp. 244–249). IEEE. Pampuri, S., Schirru, A., Fazio, G., & De Nicolao, G. (2011). Multilevel lasso applied to virtual metrology in semiconductor manufacturing. In 2011 IEEE international conference on automation science and engineering (pp. 244–249). IEEE.
Zurück zum Zitat Park, C., & Kim, S. B. (2016). Virtual metrology modeling of time-dependent spectroscopic signals by a fused lasso algorithm. Journal of Process Control,42, 51–58.CrossRef Park, C., & Kim, S. B. (2016). Virtual metrology modeling of time-dependent spectroscopic signals by a fused lasso algorithm. Journal of Process Control,42, 51–58.CrossRef
Zurück zum Zitat Pimenov, D. Y., Bustillo, A., & Mikolajczyk, T. (2018). Artificial intelligence for automatic prediction of required surface roughness by monitoring wear on face mill teeth. Journal of Intelligent Manufacturing,29(5), 1045–1061.CrossRef Pimenov, D. Y., Bustillo, A., & Mikolajczyk, T. (2018). Artificial intelligence for automatic prediction of required surface roughness by monitoring wear on face mill teeth. Journal of Intelligent Manufacturing,29(5), 1045–1061.CrossRef
Zurück zum Zitat Purwins, H., Barak, B., Nagi, A., Engel, R., Hockele, U., Kyek, A., et al. (2014). Regression methods for virtual metrology of layer thickness in chemical vapor deposition. IEEE/ASME Transactions on Mechatronics,19(1), 1–8.CrossRef Purwins, H., Barak, B., Nagi, A., Engel, R., Hockele, U., Kyek, A., et al. (2014). Regression methods for virtual metrology of layer thickness in chemical vapor deposition. IEEE/ASME Transactions on Mechatronics,19(1), 1–8.CrossRef
Zurück zum Zitat Sharma, D., Armer, H., & Moyne, J. (2012). A comparison of data mining methods for yield modeling, chamber matching and virtual metrology applications. In 23rd IEEE international conference on advanced semiconductor manufacturing (pp. 231–236). IEEE. Sharma, D., Armer, H., & Moyne, J. (2012). A comparison of data mining methods for yield modeling, chamber matching and virtual metrology applications. In 23rd IEEE international conference on advanced semiconductor manufacturing (pp. 231–236). IEEE.
Zurück zum Zitat Somari, N. M., Abdullah, M. F., Osman, M. K., Nazelan, A. M. I., Ahmad, K. A., Appanan, S. P. R. S., & Hooi, L. K. (2016). Particles contaminations detection during plasma etching process by using k-nearest neighbors and fuzzy k-nearest neighbors. In 6th IEEE international conference on control system, computing and engineering (pp. 512–516). IEEE. Somari, N. M., Abdullah, M. F., Osman, M. K., Nazelan, A. M. I., Ahmad, K. A., Appanan, S. P. R. S., & Hooi, L. K. (2016). Particles contaminations detection during plasma etching process by using k-nearest neighbors and fuzzy k-nearest neighbors. In 6th IEEE international conference on control system, computing and engineering (pp. 512–516). IEEE.
Zurück zum Zitat Susto, G. A., Johnston, A. B., O’Hara, P. G., & McLoone, S. (2013). Virtual metrology enabled early stage prediction for enhanced control of multi-stage fabrication processes. In 2013 IEEE international conference on automation science and engineering (pp. 201–206). IEEE. Susto, G. A., Johnston, A. B., O’Hara, P. G., & McLoone, S. (2013). Virtual metrology enabled early stage prediction for enhanced control of multi-stage fabrication processes. In 2013 IEEE international conference on automation science and engineering (pp. 201–206). IEEE.
Zurück zum Zitat Susto, G. A., Pampuri, S., Schirru, A., Beghi, A., & De Nicolao, G. (2015). Multi-step virtual metrology for semiconductor manufacturing: A multilevel and regularization methods-based approach. Computers & Operations Research,53, 328–337.CrossRef Susto, G. A., Pampuri, S., Schirru, A., Beghi, A., & De Nicolao, G. (2015). Multi-step virtual metrology for semiconductor manufacturing: A multilevel and regularization methods-based approach. Computers & Operations Research,53, 328–337.CrossRef
Zurück zum Zitat Teixidor, D., Grzenda, M., Bustillo, A., & Ciurana, J. (2015). Modeling pulsed laser micromachining of micro geometries using machine-learning techniques. Journal of Intelligent Manufacturing,26(4), 801–814.CrossRef Teixidor, D., Grzenda, M., Bustillo, A., & Ciurana, J. (2015). Modeling pulsed laser micromachining of micro geometries using machine-learning techniques. Journal of Intelligent Manufacturing,26(4), 801–814.CrossRef
Zurück zum Zitat Wang, P., Gao, R. X., & Yan, R. (2017). A deep learning-based approach to material removal rate prediction in polishing. CIRP Annals,66(1), 429–432.CrossRef Wang, P., Gao, R. X., & Yan, R. (2017). A deep learning-based approach to material removal rate prediction in polishing. CIRP Annals,66(1), 429–432.CrossRef
Zurück zum Zitat Werbos, P. J. (1990). Backpropagation through time: What it does and how to do it. Proceedings of the IEEE,78(10), 1550–1560.CrossRef Werbos, P. J. (1990). Backpropagation through time: What it does and how to do it. Proceedings of the IEEE,78(10), 1550–1560.CrossRef
Zurück zum Zitat Zeiler, M. D., & Fergus, R. (2014). Visualizing and understanding convolutional networks. In European conference on computer vision (pp. 818–833). Berlin: Springer. Zeiler, M. D., & Fergus, R. (2014). Visualizing and understanding convolutional networks. In European conference on computer vision (pp. 818–833). Berlin: Springer.
Metadaten
Titel
Recurrent feature-incorporated convolutional neural network for virtual metrology of the chemical mechanical planarization process
verfasst von
Ki Bum Lee
Chang Ouk Kim
Publikationsdatum
17.07.2018
Verlag
Springer US
Erschienen in
Journal of Intelligent Manufacturing / Ausgabe 1/2020
Print ISSN: 0956-5515
Elektronische ISSN: 1572-8145
DOI
https://doi.org/10.1007/s10845-018-1437-4

Weitere Artikel der Ausgabe 1/2020

Journal of Intelligent Manufacturing 1/2020 Zur Ausgabe

    Marktübersichten

    Die im Laufe eines Jahres in der „adhäsion“ veröffentlichten Marktübersichten helfen Anwendern verschiedenster Branchen, sich einen gezielten Überblick über Lieferantenangebote zu verschaffen.