Skip to main content
Erschienen in: Journal of Electronic Testing 6/2019

30.12.2019

Repurposing FPGAs for Tester Design to Enhance Field-Testing in a 3D Stack

verfasst von: Yi Sun, Fanchen Zhang, Hui Jiang, Kundan Nepal, Jennifer Dworak, Theodore Manikas, R. Iris Bahar

Erschienen in: Journal of Electronic Testing | Ausgabe 6/2019

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

We propose an architecture for a Field Programmable Gate Array (FPGA) based tester for a 3D stacked integrated circuit (IC). Due to the very short distances between dies in a stack that can make SerDes connections very efficient and the high density of through silicon vias (TSVs) that may be available, it is possible to connect the FPGA to the die under test through a very high bandwidth connection that can feed multiple short scan chains. We propose and evaluate two designs that exploit the underlying structure of the FPGA, allowing it to be used to efficiently store and apply predefined test patterns, reducing the FPGA resources required and the switching activity in the circuit under test when compared to a more traditional on-chip decompressor implemented to feed short scan chains. For the largest circuit we studied, the switching activity was reduced about 80% and the test time by 90%.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Fußnoten
1
In order to achieve high test coverage, the number of top off patterns could be quite significant. Exploring how to decrease the top-off patterns is left for future work.
 
2
By uncompressed, we mean the patterns are generated without an on-chip decompressor, but still after the dynamic pattern compression from the ATPG tool.
 
3
Note that the length of the scan chain can have a bearing on the number of patterns produced from an on-chip decompressor [4] with larger chains leading to fewer patterns.
 
Literatur
6.
Zurück zum Zitat Chaware R, Nagarajan K, Ramalingam S (2012) Assembly and reliability challenges in 3D integration of 28nm FPGA die on a large high density 65nm passive interposer. In: Proc IEEE 62nd Electronic Components and Technology Conference, pp 279–283. https://doi.org/10.1109/ECTC.2012.6248841 Chaware R, Nagarajan K, Ramalingam S (2012) Assembly and reliability challenges in 3D integration of 28nm FPGA die on a large high density 65nm passive interposer. In: Proc IEEE 62nd Electronic Components and Technology Conference, pp 279–283. https://​doi.​org/​10.​1109/​ECTC.​2012.​6248841
7.
Zurück zum Zitat Claus C, Ahmed R, Altenried F, Stechele W (2010) Towards rapid dynamic partial reconfiguration in video-based driver assistance systems. In: Sirisuk P, Morgan F, El-Ghazawi T, Amano H (eds) Reconfigurable Computing: Architectures, Tools and Applications. Springer, Berlin, pp 55–67 Claus C, Ahmed R, Altenried F, Stechele W (2010) Towards rapid dynamic partial reconfiguration in video-based driver assistance systems. In: Sirisuk P, Morgan F, El-Ghazawi T, Amano H (eds) Reconfigurable Computing: Architectures, Tools and Applications. Springer, Berlin, pp 55–67
10.
Zurück zum Zitat Deutsch S, Keller B, Chickermane V, Mukherjee S, Sood N, Goel SK, Chen J, Mehta A, Lee F, Marinissen EJ (2012) Dft architecture and ATPG for interconnect tests of JEDEC wide-I/O memory-on-logic die stacks. In: Proc IEEE International Test Conference (ITC), pp 1–10. https://doi.org/10.1109/TEST.2012.6401569 Deutsch S, Keller B, Chickermane V, Mukherjee S, Sood N, Goel SK, Chen J, Mehta A, Lee F, Marinissen EJ (2012) Dft architecture and ATPG for interconnect tests of JEDEC wide-I/O memory-on-logic die stacks. In: Proc IEEE International Test Conference (ITC), pp 1–10. https://​doi.​org/​10.​1109/​TEST.​2012.​6401569
12.
Zurück zum Zitat Dorsey P (2010) White paper: Xilinx stacked silicon interconnect technology delivers breakthrough fpga capacity, bandwidth, and power efficiency. Tech. rep., Xilinx Dorsey P (2010) White paper: Xilinx stacked silicon interconnect technology delivers breakthrough fpga capacity, bandwidth, and power efficiency. Tech. rep., Xilinx
15.
Zurück zum Zitat Loeblein M, Tsang SH, Han Y, Zhang X, Teo EHT (2016) Heat dissipation enhancement of 2.5D package with 3D graphene and 3D boron nitride networks as thermal interface material (TIM). In: Proc 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), pp 707–713. https://doi.org/10.1109/ECTC.2016.85 Loeblein M, Tsang SH, Han Y, Zhang X, Teo EHT (2016) Heat dissipation enhancement of 2.5D package with 3D graphene and 3D boron nitride networks as thermal interface material (TIM). In: Proc 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), pp 707–713. https://​doi.​org/​10.​1109/​ECTC.​2016.​85
18.
Zurück zum Zitat Rajski J, Tyszer J, Kassab M, Mukherjee N, Thompson R, Tsai K-h, Hertwig A, Tamarapalli N, Mrugalski G, Eide G, Qian J (2002) Embedded deterministic test for low cost manufacturing test. In: Proc International Test Conference (ITC), pp 301–310. https://doi.org/10.1109/TEST.2002.1041773 Rajski J, Tyszer J, Kassab M, Mukherjee N, Thompson R, Tsai K-h, Hertwig A, Tamarapalli N, Mrugalski G, Eide G, Qian J (2002) Embedded deterministic test for low cost manufacturing test. In: Proc International Test Conference (ITC), pp 301–310. https://​doi.​org/​10.​1109/​TEST.​2002.​1041773
24.
Zurück zum Zitat Xie J, Patterson D (2013) Realizing 3D IC integration with face-to-face stacking. Chip Scale Review 17 (3):16–19 Xie J, Patterson D (2013) Realizing 3D IC integration with face-to-face stacking. Chip Scale Review 17 (3):16–19
27.
Zurück zum Zitat Zhang F, Sun Y, Shen X, Nepal K, Dworak J, Manikas T, Gui P, Bahar RI, Crouch A, Potter J (2016) Using existing reconfigurable logic in 3D die stacks for test. In: Proc IEEE 25th North Atlantic Test Workshop (NATW), pp 46–52. https://doi.org/10.1109/NATW.2016.15 Zhang F, Sun Y, Shen X, Nepal K, Dworak J, Manikas T, Gui P, Bahar RI, Crouch A, Potter J (2016) Using existing reconfigurable logic in 3D die stacks for test. In: Proc IEEE 25th North Atlantic Test Workshop (NATW), pp 46–52. https://​doi.​org/​10.​1109/​NATW.​2016.​15
Metadaten
Titel
Repurposing FPGAs for Tester Design to Enhance Field-Testing in a 3D Stack
verfasst von
Yi Sun
Fanchen Zhang
Hui Jiang
Kundan Nepal
Jennifer Dworak
Theodore Manikas
R. Iris Bahar
Publikationsdatum
30.12.2019
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 6/2019
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-019-05845-5

Weitere Artikel der Ausgabe 6/2019

Journal of Electronic Testing 6/2019 Zur Ausgabe

Neuer Inhalt