Skip to main content
Erschienen in: Journal of Electronic Testing 5/2019

09.10.2019

RSBST: an Accelerated Automated Software-Based Self-Test Synthesis for Processor Testing

verfasst von: Vasudevan Madampu Suryasarman, Santosh Biswas, Aryabartta Sahu

Erschienen in: Journal of Electronic Testing | Ausgabe 5/2019

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Software-based test (SBST) techniques are increasingly being used for testing of modern processors because of the ease of synthesis using evolutionary approaches, coverage for difficult to test faults, non-intrusive nature, low hardware overhead, etc. However, the test synthesis time required by SBST is high. In this paper, an advanced SBST technique, termed as Rapid SBST (RSBST) is proposed that reduces the overall test synthesis time by reusing the simulation responses of existing test programs of identical observability. The test codes, developed using the evolutionary process, that produce similar fault simulation results are reused for the fault evaluation. We exploit this reusability to enhance the speed of the test synthesis process. The efficacy of the proposed scheme is demonstrated on a 32-bit MIPS processor and on a minimal configuration of 7-stage SPARC V8 Leon3 soft processor. The traditional SBST synthesis requires 122 hours for the MIPS processor and 142 hours for the Leon3 processor to develop test program sets that cover 93.9% and 92.9% of the behavioral-level faults of these processors, respectively. An existing enhanced greedy-cover method, that also detects the hard-to-test faults, improves the coverage towards 96.3% for the MIPS processor and 95.8% for the Leon3 processor, but this slower test development consumes 168 hours and 172 hours, respectively. In the proposed RSBST scheme, the synthesized test codes achieve an adequate fault coverage of 96.1% for the MIPS processor and 95.5% for the Leon3 processor. This accelerated test pattern generation takes 90 hours and 98 hours for these two processors. So it may be concluded that the proposed RSBST technique speeds up the traditional SBST synthesis by a factor of 1.35 while maintaining the fault coverage above 95.5%. To validate the test quality evaluation using behavioral fault models, a strong correlation (94.8%) between the behavioral faults and gate-level faults of MIPS processor is demonstrated and verified for the proposed RSBST scheme. Also, the simulation responses of the test programs synthesized by RSBST scheme consumes only 14.25% of storage space when compared with the storage consumption of the actual simulation used by the existing test code generation methods.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Literatur
1.
Zurück zum Zitat Bayraktaroglu I, Hunt J, Watkins D (2006) Cache resident functional microprocessor testing: avoiding high speed io issues. In: 2006 IEEE international test conference. IEEE, pp 1–7 Bayraktaroglu I, Hunt J, Watkins D (2006) Cache resident functional microprocessor testing: avoiding high speed io issues. In: 2006 IEEE international test conference. IEEE, pp 1–7
2.
Zurück zum Zitat Carbine A, Feltham D (1997) Pentium (r) pro processor design for test and debug. In: Proceedings international test conference 1997. IEEE, pp 294–303 Carbine A, Feltham D (1997) Pentium (r) pro processor design for test and debug. In: Proceedings international test conference 1997. IEEE, pp 294–303
3.
Zurück zum Zitat Chen C-IH (2003) Behavioral test generation/fault simulation. IEEE Potentials 22(1):27–32CrossRef Chen C-IH (2003) Behavioral test generation/fault simulation. IEEE Potentials 22(1):27–32CrossRef
4.
Zurück zum Zitat Chen L, Dey S (2001) Software-based self-testing methodology for processor cores. IEEE Trans Comput Aided Des Integr Circuits Syst 20(3):369–380CrossRef Chen L, Dey S (2001) Software-based self-testing methodology for processor cores. IEEE Trans Comput Aided Des Integr Circuits Syst 20(3):369–380CrossRef
5.
Zurück zum Zitat Chen L, Ravi S, Raghunathan A, Dey S (2003) A scalable software-based self-test methodology for programmable processors. In: Proceedings of the 40th annual design automation conference. ACM, pp 548–553 Chen L, Ravi S, Raghunathan A, Dey S (2003) A scalable software-based self-test methodology for programmable processors. In: Proceedings of the 40th annual design automation conference. ACM, pp 548–553
6.
Zurück zum Zitat Corno F, Cumani G, Reorda MS, Squillero G (2000) An rt-level fault model with high gate level correlation. In: Proc. high-level design validation and test workshop, IEEE international, pp 3–8 Corno F, Cumani G, Reorda MS, Squillero G (2000) An rt-level fault model with high gate level correlation. In: Proc. high-level design validation and test workshop, IEEE international, pp 3–8
7.
Zurück zum Zitat Corno F, Cumani G, Reorda MS, Squillero G (2002) Efficient machine-code test-program induction. In: Proceedings of the 2002 congress on evolutionary computation. CEC’02 (Cat. No. 02TH8600), vol 2. IEEE, pp 1486–1491 Corno F, Cumani G, Reorda MS, Squillero G (2002) Efficient machine-code test-program induction. In: Proceedings of the 2002 congress on evolutionary computation. CEC’02 (Cat. No. 02TH8600), vol 2. IEEE, pp 1486–1491
8.
Zurück zum Zitat Corno F, Cumani G, Reorda MS, Squillero G (2002) Evolutionary test program induction for microprocessor design verification. In: Proceedings of the 11th Asian test symposium, 2002. (ATS’02). IEEE, pp 368–373 Corno F, Cumani G, Reorda MS, Squillero G (2002) Evolutionary test program induction for microprocessor design verification. In: Proceedings of the 11th Asian test symposium, 2002. (ATS’02). IEEE, pp 368–373
9.
Zurück zum Zitat Corno F, Sánchez E, Reorda MS, Squillero G (2004) Automatic test program generation: a case study. IEEE Des Test Comput 21(2):102–109CrossRef Corno F, Sánchez E, Reorda MS, Squillero G (2004) Automatic test program generation: a case study. IEEE Des Test Comput 21(2):102–109CrossRef
10.
Zurück zum Zitat Corno F, Sánchez E, Squillero G (2005) Evolving assembly programs: how games help microprocessor validation. IEEE Trans Evol Comput 9(6):695–706CrossRef Corno F, Sánchez E, Squillero G (2005) Evolving assembly programs: how games help microprocessor validation. IEEE Trans Evol Comput 9(6):695–706CrossRef
11.
Zurück zum Zitat De Carvalho M, Bernardi P, Sánchez E, Reorda MS, Ballan O (2014) Increasing the fault coverage of processor devices during the operational phase functional test. J Electron Test 30(3):317–328CrossRef De Carvalho M, Bernardi P, Sánchez E, Reorda MS, Ballan O (2014) Increasing the fault coverage of processor devices during the operational phase functional test. J Electron Test 30(3):317–328CrossRef
12.
Zurück zum Zitat Deb K (2001) Multi-objective optimization using evolutionary algorithms, vol 16. Wiley, New YorkMATH Deb K (2001) Multi-objective optimization using evolutionary algorithms, vol 16. Wiley, New YorkMATH
13.
Zurück zum Zitat Ghosh I, Raghunathan A, Jha NK (1999) Hierarchical test generation and design for testability methods for aspps and asips. IEEE Trans Comput Aided Des Integr Circuits Syst 18(3):357–370CrossRef Ghosh I, Raghunathan A, Jha NK (1999) Hierarchical test generation and design for testability methods for aspps and asips. IEEE Trans Comput Aided Des Integr Circuits Syst 18(3):357–370CrossRef
14.
Zurück zum Zitat Gizopoulos D, Paschalis A, Zorian Y (2013) Embedded processor-based self-test, vol 28. Springer Science & Business Media, Berlin. ISBN: 978-1-4020-2801-4 Gizopoulos D, Paschalis A, Zorian Y (2013) Embedded processor-based self-test, vol 28. Springer Science & Business Media, Berlin. ISBN: 978-1-4020-2801-4
15.
Zurück zum Zitat Gizopoulos D, Psarakis M, Hatzimihail M, Maniatakos M, Paschalis A, Raghunathan A, Ravi S (2008) Systematic software-based self-test for pipelined processors. IEEE Trans Very Large Scale Integr VLSI Syst 16(11):1441–1453CrossRef Gizopoulos D, Psarakis M, Hatzimihail M, Maniatakos M, Paschalis A, Raghunathan A, Ravi S (2008) Systematic software-based self-test for pipelined processors. IEEE Trans Very Large Scale Integr VLSI Syst 16(11):1441–1453CrossRef
16.
Zurück zum Zitat Hudec J, Gramatová E (2015) An efficient functional test generation method for processors using genetic algorithms. J Electr Eng 66(4):185–193 Hudec J, Gramatová E (2015) An efficient functional test generation method for processors using genetic algorithms. J Electr Eng 66(4):185–193
17.
Zurück zum Zitat Karputkin A, Raik J (2016) A synthesis-agnostic behavioral fault model for high gate-level fault coverage. In: Proc design, automation & test in Europe conference & exhibition (DATE), pp 1124–1127 Karputkin A, Raik J (2016) A synthesis-agnostic behavioral fault model for high gate-level fault coverage. In: Proc design, automation & test in Europe conference & exhibition (DATE), pp 1124–1127
18.
Zurück zum Zitat Karunaratne M, Sagahayroon A, Prodhuturi S (2005) RTL fault modeling. In: Proc 48th midwest symposium on circuits and systems, pp 1717–1720 Karunaratne M, Sagahayroon A, Prodhuturi S (2005) RTL fault modeling. In: Proc 48th midwest symposium on circuits and systems, pp 1717–1720
19.
Zurück zum Zitat Kim K, Ha DS, Tront JG (1988) On using signature registers as pseudorandom pattern generators in built-in self-testing. IEEE Trans Comput Aided Des Integr Circuits Syst 7(8):919–928CrossRef Kim K, Ha DS, Tront JG (1988) On using signature registers as pseudorandom pattern generators in built-in self-testing. IEEE Trans Comput Aided Des Integr Circuits Syst 7(8):919–928CrossRef
20.
Zurück zum Zitat Kranitis N, Merentitis A, Theodorou G, Paschalis A, Gizopoulos D (2008) Hybrid-sbst methodology for efficient testing of processor cores. IEEE Des Test Comput 25(1):64–75CrossRef Kranitis N, Merentitis A, Theodorou G, Paschalis A, Gizopoulos D (2008) Hybrid-sbst methodology for efficient testing of processor cores. IEEE Des Test Comput 25(1):64–75CrossRef
21.
Zurück zum Zitat Kranitis N, Paschalis A, Gizopoulos D, Xenoulis G (2007) Software-based self-testing of embedded processors. In: Processor design. Springer, pp 447–481 Kranitis N, Paschalis A, Gizopoulos D, Xenoulis G (2007) Software-based self-testing of embedded processors. In: Processor design. Springer, pp 447–481
22.
Zurück zum Zitat Kranitis N, Paschalis A, Gizopoulos D, Zorian Y (2003) Instruction-based self-testing of processor cores. J Electron Test 19(2):103–112CrossRef Kranitis N, Paschalis A, Gizopoulos D, Zorian Y (2003) Instruction-based self-testing of processor cores. J Electron Test 19(2):103–112CrossRef
23.
Zurück zum Zitat Leveugle R, Hadjiat K (2003) Multi-level fault injections in vhdl descriptions: alternative approaches and experiments. J Electron Test 19(5):559–575CrossRef Leveugle R, Hadjiat K (2003) Multi-level fault injections in vhdl descriptions: alternative approaches and experiments. J Electron Test 19(5):559–575CrossRef
24.
Zurück zum Zitat Lu T-H, Chen C-H, Lee K-J (2011) Effective hybrid test program development for software-based self-testing of pipeline processor cores. IEEE Trans Very Large Scale Integr VLSI Syst 19(3):516–520CrossRef Lu T-H, Chen C-H, Lee K-J (2011) Effective hybrid test program development for software-based self-testing of pipeline processor cores. IEEE Trans Very Large Scale Integr VLSI Syst 19(3):516–520CrossRef
26.
Zurück zum Zitat Parvathala P, Maneparambil K, Lindsay W (2002) Frits-a microprocessor functional bist method. In: Proceedings. International test conference. IEEE, pp 590–598 Parvathala P, Maneparambil K, Lindsay W (2002) Frits-a microprocessor functional bist method. In: Proceedings. International test conference. IEEE, pp 590–598
27.
Zurück zum Zitat Paschalis A, Gizopoulos D, Kranitis N, Psarakis M, Zorian Y (2001) Deterministic software-based self-testing of embedded processor cores. In: Proceedings design, automation and test in Europe. Conference and exhibition 2001. IEEE, pp 92–96 Paschalis A, Gizopoulos D, Kranitis N, Psarakis M, Zorian Y (2001) Deterministic software-based self-testing of embedded processor cores. In: Proceedings design, automation and test in Europe. Conference and exhibition 2001. IEEE, pp 92–96
28.
Zurück zum Zitat Prinetto P, Rebaudengo M, Reorda MS (1994) An automatic test pattern generator for large sequential circuits based on genetic algorithms. In: Proceedings., International test conference. IEEE, pp 240–249 Prinetto P, Rebaudengo M, Reorda MS (1994) An automatic test pattern generator for large sequential circuits based on genetic algorithms. In: Proceedings., International test conference. IEEE, pp 240–249
29.
Zurück zum Zitat Psarakis M, Gizopoulos D, Sanchez E, Reorda MS (2010) Microprocessor software-based self-testing. IEEE Des Test Comput 27(3):4–19CrossRef Psarakis M, Gizopoulos D, Sanchez E, Reorda MS (2010) Microprocessor software-based self-testing. IEEE Des Test Comput 27(3):4–19CrossRef
30.
Zurück zum Zitat Riefert A, Cantoro R, Sauer M, Reorda MS, Becker B (2015) On the automatic generation of sbst test programs for in-field test. In: Proceedings of the 2015 design, automation & test in Europe conference & exhibition. EDA Consortium, pp 1186–1191 Riefert A, Cantoro R, Sauer M, Reorda MS, Becker B (2015) On the automatic generation of sbst test programs for in-field test. In: Proceedings of the 2015 design, automation & test in Europe conference & exhibition. EDA Consortium, pp 1186–1191
31.
Zurück zum Zitat Riefert A, Cantoro R, Sauer M, Reorda MS, Becker B (2016) A flexible framework for the automatic generation of sbst programs. IEEE Trans Very Large Scale Integr VLSI Syst 24(10):3055– 3066CrossRef Riefert A, Cantoro R, Sauer M, Reorda MS, Becker B (2016) A flexible framework for the automatic generation of sbst programs. IEEE Trans Very Large Scale Integr VLSI Syst 24(10):3055– 3066CrossRef
32.
Zurück zum Zitat Sánchez E, Reorda MS, Squillero G (2006) Efficient techniques for automatic verification-oriented test set optimization. Int J Parallel Prog 34(1):93–109CrossRef Sánchez E, Reorda MS, Squillero G (2006) Efficient techniques for automatic verification-oriented test set optimization. Int J Parallel Prog 34(1):93–109CrossRef
33.
Zurück zum Zitat Shen J, Abraham JA (1998) Native mode functional test generation for processors with applications to self test and design validation. In: Proceedings international test conference 1998 (IEEE Cat. No. 98CH36270). IEEE, pp 990–999 Shen J, Abraham JA (1998) Native mode functional test generation for processors with applications to self test and design validation. In: Proceedings international test conference 1998 (IEEE Cat. No. 98CH36270). IEEE, pp 990–999
34.
Zurück zum Zitat Squillero G (2005) Microgp—an evolutionary assembly program generator. Genet Program Evolvable Mach 6(3):247– 263CrossRef Squillero G (2005) Microgp—an evolutionary assembly program generator. Genet Program Evolvable Mach 6(3):247– 263CrossRef
35.
Zurück zum Zitat Stroud CE (2006) A designer’s guide to built-in self-test, vol 19. Springer Science & Business Media, Berlin Stroud CE (2006) A designer’s guide to built-in self-test, vol 19. Springer Science & Business Media, Berlin
36.
Zurück zum Zitat Suryasarman VM, Biswas S, Sahu A (2018) Automation of test program synthesis for processor post-silicon validation. J Electron Test 34(1):83–103CrossRef Suryasarman VM, Biswas S, Sahu A (2018) Automation of test program synthesis for processor post-silicon validation. J Electron Test 34(1):83–103CrossRef
37.
Zurück zum Zitat Tupuri RS, Abraham JA (1997) A novel functional test generation method for processors using commercial atpg. In: Proceedings international test conference 1997. IEEE, pp 743– 752 Tupuri RS, Abraham JA (1997) A novel functional test generation method for processors using commercial atpg. In: Proceedings international test conference 1997. IEEE, pp 743– 752
38.
Zurück zum Zitat Vasudevan M, Biswas S, Sahu A (2019) Rsbst: a rapid software-based self-test methodology for processor testing. In: 2019 32nd international conference on VLSI design and 2019 18th international conference on embedded systems (VLSID). IEEE, pp 112–117 Vasudevan M, Biswas S, Sahu A (2019) Rsbst: a rapid software-based self-test methodology for processor testing. In: 2019 32nd international conference on VLSI design and 2019 18th international conference on embedded systems (VLSID). IEEE, pp 112–117
39.
Zurück zum Zitat Wagner KD, Chin CK, McCluskey EJ (1987) Pseudorandom testing. IEEE Trans Comput 3:332–343CrossRef Wagner KD, Chin CK, McCluskey EJ (1987) Pseudorandom testing. IEEE Trans Comput 3:332–343CrossRef
40.
Zurück zum Zitat Wen C-P, Wang L-C, Cheng K-T (2006) Simulation-based functional test generation for embedded processors. IEEE Trans Comput 55(11):1335–1343CrossRef Wen C-P, Wang L-C, Cheng K-T (2006) Simulation-based functional test generation for embedded processors. IEEE Trans Comput 55(11):1335–1343CrossRef
41.
Zurück zum Zitat Zhang Y, Rezine A, Eles P, Peng Z (2012) Automatic test program generation for out-of-order superscalar processors. In: 2012 IEEE 21st Asian test symposium. IEEE, pp 338–343 Zhang Y, Rezine A, Eles P, Peng Z (2012) Automatic test program generation for out-of-order superscalar processors. In: 2012 IEEE 21st Asian test symposium. IEEE, pp 338–343
Metadaten
Titel
RSBST: an Accelerated Automated Software-Based Self-Test Synthesis for Processor Testing
verfasst von
Vasudevan Madampu Suryasarman
Santosh Biswas
Aryabartta Sahu
Publikationsdatum
09.10.2019
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 5/2019
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-019-05825-9

Weitere Artikel der Ausgabe 5/2019

Journal of Electronic Testing 5/2019 Zur Ausgabe

Neuer Inhalt