Skip to main content
Erschienen in: Journal of Electronic Testing 5/2019

19.11.2019

SAT-based Silicon Debug of Electrical Errors under Restricted Observability Enhancement

verfasst von: Binod Kumar, Masahiro Fujita, Virendra Singh

Erschienen in: Journal of Electronic Testing | Ausgabe 5/2019

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Silicon debugging of integrated circuits is exacerbated by the lack of golden responses, highly restricted observability and irreproducible nature of bugs. Debug engineers need to develop better methods that can assist in error localization at lower level(netlist) granularity. It is widely accepted that root-cause analysis of electrical bugs is highly difficult which further elongates the time needed to fix them. This paper revisits methodologies to debug electrical errors through satisfiability(SAT) solving under a limited visibility environment. We propose various SAT formulations and analyze their efficacy in error localization for a variety of benchmark circuits. The selection of debugging instrumentation is an important issue in post-silicon validation. We analyze different graph-based signal tracing techniques and propose a methodology that utilizes clustering of the nodes of the circuit graph. We aim at minimizing the overhead associated with signal tracing while maintaining the error localization efficacy. We address scalability concerns in SAT solving through partitioning of large error traces. We provide localization results on two different error models (bit-flip and stuck-at) and evaluate its efficiency through a set of different metrics.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Fußnoten
1
In the previous version of this work [28], a preliminary signal grouping methodology was proposed. The experimental evaluation was limited to only a few circuits and iterated over them only for 10 times. The experiments have been redesigned in this version and are elaborately presented in Section 5. The detailed experiments assist in capturing the essence of different SAT formulations.
 
2
This notion of signal state restoration is totally different from the widely used terminology of state restoration in the field of processor designs/computer architecture.
 
3
This is slightly different from the notion of scan chains widely utilized in manufacturing testing. In this context, the input to the chain comes from the circuit components and the output is fed to the trace buffer. The dumping of contents as illustrated in Table 2 shows the connection of the signals in the chain and the resulting dumping frequency.
 
4
the notion of stuck-at here refers to electrical error manifestations because of different reasons. This does not essentially refer to the stuck-at fault of manufacturing testing
 
5
We are assuming a TBw of 2 which equals Nclu.
 
6
Since signals corresponding to the techniques of [25] and [15] are not available publicly, we implemented these procedures and obtained those signals after the analysis of Gdir for different benchmarks utilized in our experiments.
 
7
It is clear that j can take the values of 1,2,3 or 4.
 
8
In the previous version of this work([28]), the evaluation of efficacy was done only in terms of size of Sufc. That evaluation becomes clearly an over-simplified quantification of the methodology and did not consider inexact localization.
 
9
This method of obtaining a topological order for cyclic graphs is similar to the methodology presented in [22].
 
10
Another way of evaluation could be dividing the numerator of metrics ϕ3, ϕ4 and ϕ7 by ϕ1 instead of NE.
 
11
The reported numbers on Y-axis denote the wall clock time (elapsed time) taken by a command to get executed.
 
12
Based on the reported results in the respective works. For the proposed approach, the temporal and spatial localization numbers reported have been obtained by averaging the results of s38417 and s38584 circuits.
 
Literatur
1.
Zurück zum Zitat Basu K, Mishra P, Patra P, Nahir A, Adir A (2013) Dynamic selection of trace signals for post-silicon debug. In: Proc 14th International Workshop on Microprocessor Test and Verification, pp 62–67 Basu K, Mishra P, Patra P, Nahir A, Adir A (2013) Dynamic selection of trace signals for post-silicon debug. In: Proc 14th International Workshop on Microprocessor Test and Verification, pp 62–67
2.
Zurück zum Zitat Yang JS, Touba NA (2008) Enhancing silicon debug via periodic monitoring. In: Proc 2008 IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems, pp 125–133 Yang JS, Touba NA (2008) Enhancing silicon debug via periodic monitoring. In: Proc 2008 IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems, pp 125–133
3.
Zurück zum Zitat Zhu CS, Weissenbacher G, Malik S (2011) Post-silicon fault localisation using maximum satisfiability and backbones. In: Proc International Conference on Formal Methods in Computer-Aided Design, FMCAD ’11, Austin, USA, October 30 - November 02, 2011, pp 63–66 Zhu CS, Weissenbacher G, Malik S (2011) Post-silicon fault localisation using maximum satisfiability and backbones. In: Proc International Conference on Formal Methods in Computer-Aided Design, FMCAD ’11, Austin, USA, October 30 - November 02, 2011, pp 63–66
4.
Zurück zum Zitat Prabhakar S, Hsiao MS (2010) Multiplexed trace signal selection using non-trivial implication-based correlation. In: 2010 11th International Symposium on Proc Quality Electronic Design (ISQED), pp 697–704 Prabhakar S, Hsiao MS (2010) Multiplexed trace signal selection using non-trivial implication-based correlation. In: 2010 11th International Symposium on Proc Quality Electronic Design (ISQED), pp 697–704
5.
Zurück zum Zitat Li M, Davoodi A (2013) A hybrid approach for fast and accurate trace signal selection for post-silicon debug. In: Proc Design, Automation Test in Europe Conference Exhibition (DATE) 2013, pp 485–490 Li M, Davoodi A (2013) A hybrid approach for fast and accurate trace signal selection for post-silicon debug. In: Proc Design, Automation Test in Europe Conference Exhibition (DATE) 2013, pp 485–490
6.
Zurück zum Zitat Suelflow A, Fey G, Bloem R, Drechsler R (May 2008) Using unsatisfiable cores to debug multiple design errors, In: Proceedings of the 18th ACM Great Lakes Symposium on VLSI, GLSVLSI ’08, pp 77–82 Suelflow A, Fey G, Bloem R, Drechsler R (May 2008) Using unsatisfiable cores to debug multiple design errors, In: Proceedings of the 18th ACM Great Lakes Symposium on VLSI, GLSVLSI ’08, pp 77–82
7.
Zurück zum Zitat Yang YS, Veneris A, Nicolici N, Fujita M (2012) Automated data analysis techniques for a modern silicon debug environment. In: Proc 17th Asia and South Pacific Design Automation Conference, pp 298–303 Yang YS, Veneris A, Nicolici N, Fujita M (2012) Automated data analysis techniques for a modern silicon debug environment. In: Proc 17th Asia and South Pacific Design Automation Conference, pp 298–303
8.
Zurück zum Zitat Zhu CS, Weissenbacher G, Malik S, backbones Silicon fault diagnosis using sequence interpolation with. In: Proc. The IEEE/ACM International Conference on Computer-Aided Design ICCAD 2014 (2014) Zhu CS, Weissenbacher G, Malik S, backbones Silicon fault diagnosis using sequence interpolation with. In: Proc. The IEEE/ACM International Conference on Computer-Aided Design ICCAD 2014 (2014)
9.
Zurück zum Zitat Biere A Picosat essentials. Journal on Satisfiability, Boolean Modeling and Computation (JSAT, p. 2008) Biere A Picosat essentials. Journal on Satisfiability, Boolean Modeling and Computation (JSAT, p. 2008)
11.
Zurück zum Zitat Taatizadeh P, Nicolici N (2016) Automated selection of assertions for bit-flip detection during post-silicon validation. IEEE Trans Comput Aided Des Integr Circuits Syst 35(12):2118– 2130CrossRef Taatizadeh P, Nicolici N (2016) Automated selection of assertions for bit-flip detection during post-silicon validation. IEEE Trans Comput Aided Des Integr Circuits Syst 35(12):2118– 2130CrossRef
12.
Zurück zum Zitat Kumar B, Basu K, Jindal A, Fujita M, Singh V (2017) Improving post-silicon error detection with topological selection of trace signals. In: Proc 2017 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), pp 1–6 Kumar B, Basu K, Jindal A, Fujita M, Singh V (2017) Improving post-silicon error detection with topological selection of trace signals. In: Proc 2017 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), pp 1–6
13.
Zurück zum Zitat Basu K, Mishra P (2013) Rats: Restoration-aware trace signal selection for post-silicon validation. IEEE Trans Very Large Scale Integr VLSI Syst 21(4):605–613CrossRef Basu K, Mishra P (2013) Rats: Restoration-aware trace signal selection for post-silicon validation. IEEE Trans Very Large Scale Integr VLSI Syst 21(4):605–613CrossRef
14.
Zurück zum Zitat Rahmani K, Mishra P, Ray S (2014) Efficient trace signal selection using augmentation and ilp techniques. In: Proc Fifteenth International Symposium on Quality Electronic Design, pp 148–155 Rahmani K, Mishra P, Ray S (2014) Efficient trace signal selection using augmentation and ilp techniques. In: Proc Fifteenth International Symposium on Quality Electronic Design, pp 148–155
15.
Zurück zum Zitat Pal D, Ma S, Vasudevan S (2018) Emphasizing functional relevance over state restoration in post-silicon signal tracing. In: Proc IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp 1–1 Pal D, Ma S, Vasudevan S (2018) Emphasizing functional relevance over state restoration in post-silicon signal tracing. In: Proc IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp 1–1
16.
Zurück zum Zitat Ko HF, Nicolici N (2010) Automated trace signals selection using the rtl descriptions. In: Proc IEEE International Test Conference, pp 1–10 Ko HF, Nicolici N (2010) Automated trace signals selection using the rtl descriptions. In: Proc IEEE International Test Conference, pp 1–10
17.
Zurück zum Zitat Kumar B, Jindal A, Singh V, Fujita M (2017) A methodology for trace signal selection to improve error detection in post-silicon validation. In: Proc 30th International Conference on VLSI Design and 16th International Conference on Embedded Systems(VLSID), pp 147–152 Kumar B, Jindal A, Singh V, Fujita M (2017) A methodology for trace signal selection to improve error detection in post-silicon validation. In: Proc 30th International Conference on VLSI Design and 16th International Conference on Embedded Systems(VLSID), pp 147–152
18.
Zurück zum Zitat Li M, Davoodi A (Jan 2014) Multi-mode trace signal selection for post-silicon debug. In: Proc 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC), pp 640–645 Li M, Davoodi A (Jan 2014) Multi-mode trace signal selection for post-silicon debug. In: Proc 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC), pp 640–645
19.
Zurück zum Zitat Kumar B, Basu K, Fujita M, Singh V (2018) Post-silicon gate-level error localization with effective amp;amp; combined trace signal selection. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp 1–1 Kumar B, Basu K, Fujita M, Singh V (2018) Post-silicon gate-level error localization with effective amp;amp; combined trace signal selection. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp 1–1
20.
Zurück zum Zitat Basu K, Mishra P, Patra P (2011) Efficient combination of trace and scan signals for post silicon validation and debug. In: Proc 2011 IEEE International Test Conference, pp 1–8 Basu K, Mishra P, Patra P (2011) Efficient combination of trace and scan signals for post silicon validation and debug. In: Proc 2011 IEEE International Test Conference, pp 1–8
21.
Zurück zum Zitat Vali A, Nicolici N (2018) Bit-flip detection-driven selection of trace signals. IEEE Trans Comput Aided Des Integr Circuits Syst 37(5):1076–1089CrossRef Vali A, Nicolici N (2018) Bit-flip detection-driven selection of trace signals. IEEE Trans Comput Aided Des Integr Circuits Syst 37(5):1076–1089CrossRef
22.
Zurück zum Zitat Kumar B, Jindal A, Fujita M, Singh V (2017) Post-silicon observability enhancement with topology based trace signal selection. In: Proc 2017 18th IEEE Latin American Test Symposium (LATS), pp 1–6 Kumar B, Jindal A, Fujita M, Singh V (2017) Post-silicon observability enhancement with topology based trace signal selection. In: Proc 2017 18th IEEE Latin American Test Symposium (LATS), pp 1–6
23.
Zurück zum Zitat Rahmani K, Proch S, Mishra P (2016) Efficient selection of trace and scan signals for post-silicon debug. IEEE Trans Very Large Scale Integr VLSI Syst 24(1):313–323CrossRef Rahmani K, Proch S, Mishra P (2016) Efficient selection of trace and scan signals for post-silicon debug. IEEE Trans Very Large Scale Integr VLSI Syst 24(1):313–323CrossRef
24.
Zurück zum Zitat Liu X, Xu Q (2012) On signal selection for visibility enhancement in trace-based post-silicon validation. IEEE Trans Comput Aided Des Integr Circuits Syst 31(8):1263–1274CrossRef Liu X, Xu Q (2012) On signal selection for visibility enhancement in trace-based post-silicon validation. IEEE Trans Comput Aided Des Integr Circuits Syst 31(8):1263–1274CrossRef
25.
Zurück zum Zitat Hung E, Wilton SJE (2013) Scalable signal selection for post-silicon debug. IEEE Trans Very Large Scale Integr VLSI Syst 21(6):1103–1115CrossRef Hung E, Wilton SJE (2013) Scalable signal selection for post-silicon debug. IEEE Trans Very Large Scale Integr VLSI Syst 21(6):1103–1115CrossRef
26.
Zurück zum Zitat BeigMohammadi S, Alizadeh B (2016) Combinational trace signal selection with improved state restoration for post-silicon debug. In: Proc 2016 Design Automation Test in Europe Conference Exhibition (DATE), pp 1369–1374 BeigMohammadi S, Alizadeh B (2016) Combinational trace signal selection with improved state restoration for post-silicon debug. In: Proc 2016 Design Automation Test in Europe Conference Exhibition (DATE), pp 1369–1374
27.
Zurück zum Zitat Iwata K, Gharehbaghi AM, Tahoori MB, Fujita M (2017) Post silicon debugging of electrical bugs using trace buffers. In: Proc 2017 IEEE 26th Asian Test Symposium (ATS), pp 189–194 Iwata K, Gharehbaghi AM, Tahoori MB, Fujita M (2017) Post silicon debugging of electrical bugs using trace buffers. In: Proc 2017 IEEE 26th Asian Test Symposium (ATS), pp 189–194
28.
Zurück zum Zitat Kumar B, Fujita M, Singh V (2019) A methodology for sat-based electrical error debugging during post-silicon validation. In: Proc 2019 32nd International Conference on VLSI Design(VLSID), pp 389–394 Kumar B, Fujita M, Singh V (2019) A methodology for sat-based electrical error debugging during post-silicon validation. In: Proc 2019 32nd International Conference on VLSI Design(VLSID), pp 389–394
29.
Zurück zum Zitat Park SB, Mitra S (2008) Ifra: Instruction footprint recording and analysis for post-silicon bug localization in processors. In: Proc Design Automation Conference, 2008. DAC 2008, 45th ACM/IEEE, pp 373–378 Park SB, Mitra S (2008) Ifra: Instruction footprint recording and analysis for post-silicon bug localization in processors. In: Proc Design Automation Conference, 2008. DAC 2008, 45th ACM/IEEE, pp 373–378
30.
Zurück zum Zitat Kumar B, Jindal A, Fujita M, Singh V (2017) Combining restorability and error detection ability for effective trace signal selection. In: Proceedings of the on Great Lakes Symposium on VLSI 2017, pp 191–196 Kumar B, Jindal A, Fujita M, Singh V (2017) Combining restorability and error detection ability for effective trace signal selection. In: Proceedings of the on Great Lakes Symposium on VLSI 2017, pp 191–196
31.
Zurück zum Zitat Sabaghian-Bidgoli H, Behnam P, Alizadeh B, Navabi Z (2017) Reducing search space for fault diagnosis: A probability-based scoring approach. In: Proc 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp 545–550 Sabaghian-Bidgoli H, Behnam P, Alizadeh B, Navabi Z (2017) Reducing search space for fault diagnosis: A probability-based scoring approach. In: Proc 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp 545–550
32.
Zurück zum Zitat Hong T, Li Y, Park SB, Mui D, Lin D, Kaleq ZA, Hakim N, Naeimi H, Gardner DS, Mitra S (2010) Qed: Quick error detection tests for effective post-silicon validation. In: Proc 2010 IEEE International Test Conference, pp 1–10 Hong T, Li Y, Park SB, Mui D, Lin D, Kaleq ZA, Hakim N, Naeimi H, Gardner DS, Mitra S (2010) Qed: Quick error detection tests for effective post-silicon validation. In: Proc 2010 IEEE International Test Conference, pp 1–10
Metadaten
Titel
SAT-based Silicon Debug of Electrical Errors under Restricted Observability Enhancement
verfasst von
Binod Kumar
Masahiro Fujita
Virendra Singh
Publikationsdatum
19.11.2019
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 5/2019
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-019-05830-y

Weitere Artikel der Ausgabe 5/2019

Journal of Electronic Testing 5/2019 Zur Ausgabe

Neuer Inhalt