Skip to main content

2017 | OriginalPaper | Buchkapitel

12. Spatial and Temporal Memoization

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

In this chapter, we further combine the methods in detecting and correcting errors with the methods in accepting errors to devise a new hybrid methods Rahimi et al. (IEEE Trans. Circuits Syst. II Express Briefs 60:847–851, 2013) [1], Rahimi et al. (Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014, pp. 1–6, 2014) [2], Rahimi et al. (Temporal memoization for energy-efficient timing error recovery in GPGPU architectures. Technical Report CS2014-1006, Department of Computer Science and Engineering, University of California San Diego, La Jolla, CA 92093, 2014) [3], Rahimi et al. (IEEE Des. Test 33:85–92, 2016) [4]. The cost and speed of error recovery can be improved by memoization-based optimization method as a form of computational reuse. Accordingly, we propose two techniques, spatial memoization and temporal memoization, that exploit parallelism in suitable computing fabrics such as GP-GPUs. These memoization techniques exploit value locality and similarity inside data-parallel programs for use in floating-point units (FPUs). Spatial memoization alleviates cost of timing errors recovery, building upon lock-step execution of single-instruction, multiple-data (SIMD) architectures. To support spatial memoization at the level of instruction, we propose a single strong lane, multiple weak lanes (SSMW) architecture. Spatial memoization recalls result of error-free execution of an instruction on the SS lane, and concurrently reuses it to spatially correct any errant instructions across MW lanes. This error correction can be done exactly or approximately. Temporal memoization recalls the context of error-free execution of an instruction on a FPU. To enable scalable and independent error recovery, a single-cycle lookup table (LUT) is tightly coupled to every FPU to maintain few contexts of recent error-free executions. The LUT reuses these memorized contexts to exactly, or approximately, correct errant FP instructions based on application needs. The proposed memoization techniques eliminate the cost of error recovery (e.g., on average 62% for the voltage droop-affected timing errors) and enhance energy efficiency. Spatio-temporal memoization techniques are implemented in standard CMOS technology as a joint method for detecting and correcting with accepting the timing errors in GP-GPUs.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat A. Rahimi, L. Benini, R.K. Gupta, Spatial memoization: concurrent instruction reuse to correct timing errors in simd architectures. IEEE Trans. Circuits Syst. II Express briefs 60(12), 847–851 (2013)CrossRef A. Rahimi, L. Benini, R.K. Gupta, Spatial memoization: concurrent instruction reuse to correct timing errors in simd architectures. IEEE Trans. Circuits Syst. II Express briefs 60(12), 847–851 (2013)CrossRef
2.
Zurück zum Zitat A. Rahimi, L. Benini, R.K. Gupta, Temporal memoization for energy-efficient timing error recovery in gpgpus, in Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014 (2014), pp. 1–6 A. Rahimi, L. Benini, R.K. Gupta, Temporal memoization for energy-efficient timing error recovery in gpgpus, in Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014 (2014), pp. 1–6
3.
Zurück zum Zitat A. Rahimi, L. Benini, R.K. Gupta, Temporal memoization for energy-efficient timing error recovery in GPGPU architectures. Technical Report CS2014-1006, Department of Computer Science and Engineering, University of California San Diego, La Jolla, CA 92093 (2014) A. Rahimi, L. Benini, R.K. Gupta, Temporal memoization for energy-efficient timing error recovery in GPGPU architectures. Technical Report CS2014-1006, Department of Computer Science and Engineering, University of California San Diego, La Jolla, CA 92093 (2014)
4.
Zurück zum Zitat A. Rahimi, L. Benini, R.K. Gupta, CIRCA-GPUs: increasing instruction reuse through inexact computing in GP-GPUs. IEEE Des. Test 33(6), 85–92 (2016)CrossRef A. Rahimi, L. Benini, R.K. Gupta, CIRCA-GPUs: increasing instruction reuse through inexact computing in GP-GPUs. IEEE Des. Test 33(6), 85–92 (2016)CrossRef
5.
Zurück zum Zitat A. Sodani, G.S. Sohi, Dynamic instruction reuse, in Proceedings of the 24th Annual International Symposium on Computer Architecture, ISCA ’97, ACM, New York, NY, USA (1997), pp. 194–205 A. Sodani, G.S. Sohi, Dynamic instruction reuse, in Proceedings of the 24th Annual International Symposium on Computer Architecture, ISCA ’97, ACM, New York, NY, USA (1997), pp. 194–205
6.
Zurück zum Zitat A. Rahimi, A. Ghofrani, M.A. Lastras-Montano, K.-T. Cheng, L. Benini, R.K. Gupta, Energy-efficient GPGPU architectures via collaborative compilation and memristive memory-based computing, in Proceedings of the The 51st Annual Design Automation Conference on Design Automation Conference, DAC ’14, ACM, New York, NY, USA (2014), pp. 195:1–195:6 A. Rahimi, A. Ghofrani, M.A. Lastras-Montano, K.-T. Cheng, L. Benini, R.K. Gupta, Energy-efficient GPGPU architectures via collaborative compilation and memristive memory-based computing, in Proceedings of the The 51st Annual Design Automation Conference on Design Automation Conference, DAC ’14, ACM, New York, NY, USA (2014), pp. 195:1–195:6
7.
Zurück zum Zitat A. Rahimi, A. Ghofrani, K.-T. Cheng, L. Benini, R.K. Gupta, Approximate associative memristive memory for energy-efficient GPUs, in Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE ’15 (2015), pp. 1497–1502 A. Rahimi, A. Ghofrani, K.-T. Cheng, L. Benini, R.K. Gupta, Approximate associative memristive memory for energy-efficient GPUs, in Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE ’15 (2015), pp. 1497–1502
8.
Zurück zum Zitat R. Pawlowski, E. Krimer, J. Crop, J. Postman, N. Moezzi-Madani, M. Erez, P. Chiang, A 530mv 10-lane SIMD processor with variation resiliency in 45nm SOI, in 2012 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2012), pp. 492–494 R. Pawlowski, E. Krimer, J. Crop, J. Postman, N. Moezzi-Madani, M. Erez, P. Chiang, A 530mv 10-lane SIMD processor with variation resiliency in 45nm SOI, in 2012 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2012), pp. 492–494
9.
Zurück zum Zitat E. Krimer, P. Chiang, M. Erez, Lane decoupling for improving the timing-error resiliency of wide-SIMD architectures, in Proceedings of the 39th Annual International Symposium on Computer Architecture, ISCA ’12, IEEE Computer Society, Washington, DC, USA, (2012) pp. 237–248 E. Krimer, P. Chiang, M. Erez, Lane decoupling for improving the timing-error resiliency of wide-SIMD architectures, in Proceedings of the 39th Annual International Symposium on Computer Architecture, ISCA ’12, IEEE Computer Society, Washington, DC, USA, (2012) pp. 237–248
12.
Zurück zum Zitat A. Raychowdhury, B.M. Geuskens, K.A. Bowman, J.W. Tschanz, S.L. Lu, T. Karnik, M.M. Khellah, V.K. De, Tunable replica bits for dynamic variation tolerance in 8T SRAM arrays. IEEE J. Solid-State Circuits 46(4), 797–805 (2011)CrossRef A. Raychowdhury, B.M. Geuskens, K.A. Bowman, J.W. Tschanz, S.L. Lu, T. Karnik, M.M. Khellah, V.K. De, Tunable replica bits for dynamic variation tolerance in 8T SRAM arrays. IEEE J. Solid-State Circuits 46(4), 797–805 (2011)CrossRef
Metadaten
Titel
Spatial and Temporal Memoization
verfasst von
Abbas Rahimi
Luca Benini
Rajesh K. Gupta
Copyright-Jahr
2017
DOI
https://doi.org/10.1007/978-3-319-53768-9_12

Neuer Inhalt