Skip to main content

2015 | OriginalPaper | Buchkapitel

2. Rechnerarchitekturen für Parallele und Verteilte Systeme

verfasst von : Christian Baun, Günther Bengel, Marcel Kunze, Karl-Uwe Stucky

Erschienen in: Masterkurs Parallele und Verteilte Systeme

Verlag: Springer Fachmedien Wiesbaden

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Zusammenfassung

Zur Erhöhung der Rechenleistung durch parallele Auslegung und Vervielfachung der Prozessoren kristallisieren sich heute vier Möglichkeiten auf unterschiedlichen Rechnerarchitekturen heraus:
1.
Eng gekoppelte Multiprozessoren und Multicore-Prozessoren
Eine Möglichkeit, die Verarbeitungsgeschwindigkeit von Prozessoren zu erhöhen, ist die Koppelung von mehreren Prozessoren. Auf diese Weise kann ein erhöhter Systemdurchsatz erreicht werden, wenn verschiedene Prozesse oder Threads echt parallel auf verschiedenen Prozessoren ausgeführt werden und nicht quasi parallel (durch Prozessumschaltung), wie bei Einprozessorsystemen.
Ein erhöhter Systemdurchsatz ist vor allem bei parallelen Servern erwünscht, die für jede eingehende Anfrage (Request) einen Thread zur Bearbeitung der Anfrage starten. Dies bewirkt dann beim Server eine Erhöhung der Anzahl der zu verarbeitenden Anfragen pro Zeiteinheit. Beim eng gekoppelten Multiprozessor (tightly coupled), nutzen alle CPUs den Hauptspeicher gemeinsam. Die Synchronisation, Koordination und Kommunikation der parallelen Prozesse auf den verschiedenen CPUs geschieht über den gemeinsamen Speicher. Die einzelnen Prozessoren können ganz einfach in den gemeinsamen Speicher lesen und schreiben (siehe Abschn. 2.1).
 
2.
General Purpose Computation on Graphic Processing Unit (GPGPU) und massive parallele Architekturen
 

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
[AAK06]
Zurück zum Zitat Ananian C.S., Asanovic K., Kuszmaul B. C., Leierson C. E., Leierson C.E., Lie S.: Unbounded Transactional Memory. IEEE Micro, Vol. 26, No. 1, Jan. Febr. 2006. Ananian C.S., Asanovic K., Kuszmaul B. C., Leierson C. E., Leierson C.E., Lie S.: Unbounded Transactional Memory. IEEE Micro, Vol. 26, No. 1, Jan. Febr. 2006.
[AB86]
Zurück zum Zitat Archibald J., Baer J.-L.: Cache Coherence Protocols: Evaluation Using a Multiprocessors Simulation Model. ACM Transactions on Computer Systems, Vol. 4, No. 4,p\hack{\break} 1986. Archibald J., Baer J.-L.: Cache Coherence Protocols: Evaluation Using a Multiprocessors Simulation Model. ACM Transactions on Computer Systems, Vol. 4, No. 4,p\hack{\break} 1986.
[AG96]
Zurück zum Zitat Adve S.V., Gharachorloo K.: Shared Memory Consistency Models: A Tutorial. IEEE Computer, Vol. 29, No. 12, Dec. 1996. Adve S.V., Gharachorloo K.: Shared Memory Consistency Models: A Tutorial. IEEE Computer, Vol. 29, No. 12, Dec. 1996.
[AKS07]
Zurück zum Zitat Adl-Tabatabai A.R., Kozyrakis C., Saha B.: Unlocking Concurrency, Multicore Programming with Transactional Memory. ACM Queue Vol. 4, No. 10, December/January 2006–2007. Adl-Tabatabai A.R., Kozyrakis C., Saha B.: Unlocking Concurrency, Multicore Programming with Transactional Memory. ACM Queue Vol. 4, No. 10, December/January 2006–2007.
[ARJ07]
Zurück zum Zitat Aggarwal N., Ranganathan P. Jouppi N.P., Smith J.E.: Isolation in Commodity Multicore Processors. IEEE Computer, Vol. 40, No. 6, June 2007. Aggarwal N., Ranganathan P. Jouppi N.P., Smith J.E.: Isolation in Commodity Multicore Processors. IEEE Computer, Vol. 40, No. 6, June 2007.
[B78]
Zurück zum Zitat Backus J.: Can programming be liberated from the von Neumann style? A functional style and its algebra of programs. Communications of the ACM Vol. 21, No. 8, August 1978. Backus J.: Can programming be liberated from the von Neumann style? A functional style and its algebra of programs. Communications of the ACM Vol. 21, No. 8, August 1978.
[BBD09]
Zurück zum Zitat Baumann A., Barham P., Dagand P.E., Harris T, Isaacs R., Peter S., Roscoe T, Schüpbach A., Inghania A.: The Multikernel: A new OS architecture for scalable multicore systems. Proceedings of the 22nd ACM Symposium on OS Principles, Big Sky, MT, USA, October 2009.CrossRef Baumann A., Barham P., Dagand P.E., Harris T, Isaacs R., Peter S., Roscoe T, Schüpbach A., Inghania A.: The Multikernel: A new OS architecture for scalable multicore systems. Proceedings of the 22nd ACM Symposium on OS Principles, Big Sky, MT, USA, October 2009.CrossRef
[BBN89]
Zurück zum Zitat BBN Advanced Computers Inc, TC-2000 Technical Product Summary, 1989. BBN Advanced Computers Inc, TC-2000 Technical Product Summary, 1989.
[BC03]
Zurück zum Zitat Bovet D.P., Cesati M.: Understanding the Linux Kernel. Second Edition. O’Reilly & Associates Inc. 2003. Bovet D.P., Cesati M.: Understanding the Linux Kernel. Second Edition. O’Reilly & Associates Inc. 2003.
[BDH03]
Zurück zum Zitat Barroso L.A., Dean J. Hölzle U.: Web Search for a Planet: The Goggle Cluster Architecture. IEEE Micro, Vol. 23, No. 2, 2003. Barroso L.A., Dean J. Hölzle U.: Web Search for a Planet: The Goggle Cluster Architecture. IEEE Micro, Vol. 23, No. 2, 2003.
[BH72]
Zurück zum Zitat Brinch Hansen P.: A comparison of two synchronising concepts. Acta Informatica, No. 1, 1972. Brinch Hansen P.: A comparison of two synchronising concepts. Acta Informatica, No. 1, 1972.
[BM06]
Zurück zum Zitat Bauke H., Mertens S.: Cluster Computing, Praktische Einführung in das Hochleistungsrechnen auf Linux-Clustern. Springer Verlag 2006.MATH Bauke H., Mertens S.: Cluster Computing, Praktische Einführung in das Hochleistungsrechnen auf Linux-Clustern. Springer Verlag 2006.MATH
[Bo06]
Zurück zum Zitat Bode A.: Multicore-Architekturen. Informatik Spektrum, Band 29, Heft 5, Okt. 2006. Bode A.: Multicore-Architekturen. Informatik Spektrum, Band 29, Heft 5, Okt. 2006.
[BTR02]
Zurück zum Zitat Bossen D.C., Tendler J.M., Reick K.: Power4 System Design for High Reliabilty. IEEE Micro, Vol. 22, No. 2, March/April 2002. Bossen D.C., Tendler J.M., Reick K.: Power4 System Design for High Reliabilty. IEEE Micro, Vol. 22, No. 2, March/April 2002.
[D65]
Zurück zum Zitat Dijkstra E.W.: Cooperating Sequential Processes. Technological University, Eindhoven, The Netherlands, 1965. (Reprinted in Great Papers in Computer Science. Laplante P. ed., IEEE Press, New York, NY, 1996) Dijkstra E.W.: Cooperating Sequential Processes. Technological University, Eindhoven, The Netherlands, 1965. (Reprinted in Great Papers in Computer Science. Laplante P. ed., IEEE Press, New York, NY, 1996)
[D90]
Zurück zum Zitat Duncan R.: A Survey of Parallel Computer Architectures. IEEE Computer. Vol. 23, No. 2, February 1990. Duncan R.: A Survey of Parallel Computer Architectures. IEEE Computer. Vol. 23, No. 2, February 1990.
[DS10]
Zurück zum Zitat Dean J., Ghemawat S.: MapReduce: A flexible Data Processing Tool. Communication of the ACM, Vol. 53, No. 01, 01/2010. Dean J., Ghemawat S.: MapReduce: A flexible Data Processing Tool. Communication of the ACM, Vol. 53, No. 01, 01/2010.
[EEL97]
Zurück zum Zitat Eggers S.J., Emer J.S., Levy H.M., Lo J.L., Stamm R.L., Tullsen D.M.: Simultaneous MultiThreading: A platform for Next-Generation Processors. IEEE Micro, Vol. 17, No. 5, Sept/Oct 1997. Eggers S.J., Emer J.S., Levy H.M., Lo J.L., Stamm R.L., Tullsen D.M.: Simultaneous MultiThreading: A platform for Next-Generation Processors. IEEE Micro, Vol. 17, No. 5, Sept/Oct 1997.
[G01]
Zurück zum Zitat Gelsinger, P.P.: Microprozessors for the New Millenium. Challenges, Opportunities and New Frontiers. ICSS February 2001. Gelsinger, P.P.: Microprozessors for the New Millenium. Challenges, Opportunities and New Frontiers. ICSS February 2001.
[GEM07]
Zurück zum Zitat Gschwind M., Erb D., Manning S., Nutter M.; An Open Source Environment for Cell Broadband Engine System Software. IEEE Computer, Vol. 40, No. 6, 2007. Gschwind M., Erb D., Manning S., Nutter M.; An Open Source Environment for Cell Broadband Engine System Software. IEEE Computer, Vol. 40, No. 6, 2007.
[GGH97]
Zurück zum Zitat Gonzalez R. Gordon B. Horowitz M.: Supply and Threshold Voltage Scaling for Low-Power CMOS. IEEE Jornal Solid-State Circuits, Vol. 32, No. 8, Aug 1997. Gonzalez R. Gordon B. Horowitz M.: Supply and Threshold Voltage Scaling for Low-Power CMOS. IEEE Jornal Solid-State Circuits, Vol. 32, No. 8, Aug 1997.
[GGK83]
Zurück zum Zitat Gottlieb A., Grishman R., Kruskal C.P., McAuliffe K. P, Rudolph L., Snir M.: The NYU ultracomputer: Designing a MIMD, shared memory parallel computer. IEEE Transactions on Computers, Vol. 32, No. 2, 1983. Gottlieb A., Grishman R., Kruskal C.P., McAuliffe K. P, Rudolph L., Snir M.: The NYU ultracomputer: Designing a MIMD, shared memory parallel computer. IEEE Transactions on Computers, Vol. 32, No. 2, 1983.
[GGL03]
Zurück zum Zitat Ghernawatt S., Gobioff H., Leung S.: The Goggle File System. Proceeding of the 19th ACM Symposium on Operating Systems Principles. Oct. 2003. Ghernawatt S., Gobioff H., Leung S.: The Goggle File System. Proceeding of the 19th ACM Symposium on Operating Systems Principles. Oct. 2003.
[GHF06]
Zurück zum Zitat Gschwind M., Hofstee H.P., Flachs B., et. al.: Synergistic Processing in Cell’s Multicore Architecture. IEEE Micro, Vol. 26, No. 2, March/April 2006. Gschwind M., Hofstee H.P., Flachs B., et. al.: Synergistic Processing in Cell’s Multicore Architecture. IEEE Micro, Vol. 26, No. 2, March/April 2006.
[GT90]
Zurück zum Zitat Graunke G., Thakkar S.: Synchronization Algorithms for Shared Memory Multiprocessors. IEEE Computer, Vol. 23, No. 6, June 1990. Graunke G., Thakkar S.: Synchronization Algorithms for Shared Memory Multiprocessors. IEEE Computer, Vol. 23, No. 6, June 1990.
[H91]
Zurück zum Zitat Herlihy M.: Wait-Free Synchronisation. ACM Transaction on Programming Languages and Systems. Vol. 11, No. 1, Jan. 1991. Herlihy M.: Wait-Free Synchronisation. ACM Transaction on Programming Languages and Systems. Vol. 11, No. 1, Jan. 1991.
[H93]
Zurück zum Zitat Handy J.: The Cache Memory Book. Academic Press Inc. 1993.MATH Handy J.: The Cache Memory Book. Academic Press Inc. 1993.MATH
[H106]
Zurück zum Zitat Hawking D.: Web Search Engines: Part 1: IEEE Computer, Vol. 39, No. 6, June 2006. Hawking D.: Web Search Engines: Part 1: IEEE Computer, Vol. 39, No. 6, June 2006.
[H206]
Zurück zum Zitat Hawking D.: Web Search Engines: Part 2. IEEE Computer, Vol. 39, No. 8, August 2006. Hawking D.: Web Search Engines: Part 2. IEEE Computer, Vol. 39, No. 8, August 2006.
[HB84]
Zurück zum Zitat Hwang K., Briggs F. A.: Computer Architecture and Parallel Processing. McGraw Hill 1984.MATH Hwang K., Briggs F. A.: Computer Architecture and Parallel Processing. McGraw Hill 1984.MATH
[HCU77]
Zurück zum Zitat Harris T., Cristal A., Unsal O.S., et al.: Transactional Memory: An Overview. IEEE Micro, Vol. 27, No. 3, May/June 2007. Harris T., Cristal A., Unsal O.S., et al.: Transactional Memory: An Overview. IEEE Micro, Vol. 27, No. 3, May/June 2007.
[HF03]
Zurück zum Zitat Harris T., Fraser K.: Language Support for Lightweight Transactions. Proceedings of the 18th annual ACM SIGPLAN conference on Object-oriented programing, systems, languages, and applications. Anaheim, ACM SIGPLAN Notices Vol. 38, No. 11, 2003. Harris T., Fraser K.: Language Support for Lightweight Transactions. Proceedings of the 18th annual ACM SIGPLAN conference on Object-oriented programing, systems, languages, and applications. Anaheim, ACM SIGPLAN Notices Vol. 38, No. 11, 2003.
[HF07]
Zurück zum Zitat Harris T., Fraser K.: Concurrent Programming Without Locks. ACM Transactions on Computer Systems, Vol. 25, No. 2, Articles 4–5, 2007. Harris T., Fraser K.: Concurrent Programming Without Locks. ACM Transactions on Computer Systems, Vol. 25, No. 2, Articles 4–5, 2007.
[HLH92]
Zurück zum Zitat Hagerstein E., Landin A., Haridi S.: DDM – A Cache-Only Memory Architecture. IEEE Computer, Vol. 25, No. 9, Sept. 1992. Hagerstein E., Landin A., Haridi S.: DDM – A Cache-Only Memory Architecture. IEEE Computer, Vol. 25, No. 9, Sept. 1992.
[HM93]
Zurück zum Zitat Herlihy M., Moss E.: Transactional memory; Architectural support for lock-free datastructures. In Proceedings of the 20th Annual International Symposium on Computer Architecture, San Diego, CA, May 1993. Herlihy M., Moss E.: Transactional memory; Architectural support for lock-free datastructures. In Proceedings of the 20th Annual International Symposium on Computer Architecture, San Diego, CA, May 1993.
[HMJ05]
Zurück zum Zitat Harris T., Marlow S., Jones S.P. Herily M.: Composable Memory Transactions. ACM Conference on Principles and Practice of Parallelel Programming 2005.CrossRef Harris T., Marlow S., Jones S.P. Herily M.: Composable Memory Transactions. ACM Conference on Principles and Practice of Parallelel Programming 2005.CrossRef
[HNO97]
Zurück zum Zitat Hammond L., Nayfeh B.A., Olukotun K.: A Single-Chip Multiprocessor Computer. Vol. 30., No. 9, Sept. 1997. Hammond L., Nayfeh B.A., Olukotun K.: A Single-Chip Multiprocessor Computer. Vol. 30., No. 9, Sept. 1997.
[Ho72]
Zurück zum Zitat Hoare C.A.R: Towards a theory of parallel programming. In: Hoare C.A.R. and Perott R.H, Eds.: Operating Systems Techniques. Academic Press, New York, NY, 1972. Hoare C.A.R: Towards a theory of parallel programming. In: Hoare C.A.R. and Perott R.H, Eds.: Operating Systems Techniques. Academic Press, New York, NY, 1972.
[HP06]
Zurück zum Zitat Hennessy J. L., Patterson D.A.: Computer Architecture, A Quantitative Approach, 4rd Edition, Morgan Kaufmann Publishing Co., Menlo Park, CA. 2006.MATH Hennessy J. L., Patterson D.A.: Computer Architecture, A Quantitative Approach, 4rd Edition, Morgan Kaufmann Publishing Co., Menlo Park, CA. 2006.MATH
[JW05]
Zurück zum Zitat Jerraya A., A., Wolf W.; Multiprocessor Systems-on-Chips. Elsevier Inc. 2005. Jerraya A., A., Wolf W.; Multiprocessor Systems-on-Chips. Elsevier Inc. 2005.
[KAO05]
Zurück zum Zitat Kongetira P., Aingaran K., Olukoton K.: Niagara: A 32-Way Multithreaded Sparc Processor. IEEE Micro, Vol. 25, No. 2, March/April 2005. Kongetira P., Aingaran K., Olukoton K.: Niagara: A 32-Way Multithreaded Sparc Processor. IEEE Micro, Vol. 25, No. 2, March/April 2005.
[KDH05]
Zurück zum Zitat Kahle J. A., Day M. N., Hofstee H.P. et. al.: Introduction to the Cell Multiprocessors. IBM J. Research and Development, Vol. 49, No. 4/5, 2005. Kahle J. A., Day M. N., Hofstee H.P. et. al.: Introduction to the Cell Multiprocessors. IBM J. Research and Development, Vol. 49, No. 4/5, 2005.
[KGA03]
Zurück zum Zitat Keltcher, C. N., McGrath K. J., Ahmed A., Conway P.: The AMD Opteron Processor for Multiprocessor Servers. IEEE Micro, Vol. 23, No. 2, March/April 2003. Keltcher, C. N., McGrath K. J., Ahmed A., Conway P.: The AMD Opteron Processor for Multiprocessor Servers. IEEE Micro, Vol. 23, No. 2, March/April 2003.
[KH12]
Zurück zum Zitat Kirk D.B., Hwu W.-M., W.: Programming Massively Parallel Processors: A Hands-on Approach. 2nd revised Edition. Morgan Kaufman 2012. Kirk D.B., Hwu W.-M., W.: Programming Massively Parallel Processors: A Hands-on Approach. 2nd revised Edition. Morgan Kaufman 2012.
[KPP06]
Zurück zum Zitat Kistler M., Perrone M., Petrini F.: Cell Multiprocessor Communictaion Network: Built for Speed. IEEE Micro Vol. 26, No. 3, May/June 2006. Kistler M., Perrone M., Petrini F.: Cell Multiprocessor Communictaion Network: Built for Speed. IEEE Micro Vol. 26, No. 3, May/June 2006.
[KR81]
Zurück zum Zitat Kung H.T., Robison J. T.: On Optimistic Methods for Concurrency Control. ACM Trans. Database Systems, Vol. 6, No. 2, 1981. Kung H.T., Robison J. T.: On Optimistic Methods for Concurrency Control. ACM Trans. Database Systems, Vol. 6, No. 2, 1981.
[L79]
Zurück zum Zitat Lamport L.: How to Make a Multiprocessor Computer that Correctly Executes Multiprocessor Programs. IEEE Trans. On Computers, Bd C-28, S. 690–691, Sept. 1979.CrossRef Lamport L.: How to Make a Multiprocessor Computer that Correctly Executes Multiprocessor Programs. IEEE Trans. On Computers, Bd C-28, S. 690–691, Sept. 1979.CrossRef
[L93]
Zurück zum Zitat Lilja D. J.: Cache Coherence in Large-Scale Shared-Memory Multiprocessors: Issues and Comparisons. ACM Computing Surveys Vol. 26, No. 3, Sept.1993. Lilja D. J.: Cache Coherence in Large-Scale Shared-Memory Multiprocessors: Issues and Comparisons. ACM Computing Surveys Vol. 26, No. 3, Sept.1993.
[LNO08]
Zurück zum Zitat Lindholm E., Nickolls J., Oberman S., Montrym J.: NVIDIA TESLA: A Unified Graphics and Computing Architecture. IEEE Micro, Vol. 28 No. 2, March/April 2008. Lindholm E., Nickolls J., Oberman S., Montrym J.: NVIDIA TESLA: A Unified Graphics and Computing Architecture. IEEE Micro, Vol. 28 No. 2, March/April 2008.
[M01]
Zurück zum Zitat Märtin C.: Rechnerarchitekturen, CPUs, Systeme, Software-Schnittstellen. Fachbuchverlag Leipzig 2001. Märtin C.: Rechnerarchitekturen, CPUs, Systeme, Software-Schnittstellen. Fachbuchverlag Leipzig 2001.
[M02]
Zurück zum Zitat Marr D. et al.: Hyper-Threading Technology Architecture and Microarchitecture: A Hypertext History. Intel Technology Journal, Vol. 6, No. 3, Feb 2002. Marr D. et al.: Hyper-Threading Technology Architecture and Microarchitecture: A Hypertext History. Intel Technology Journal, Vol. 6, No. 3, Feb 2002.
[M99]
[MCC07]
Zurück zum Zitat McDonald A., Carlstrom, B., Chung J.: Transactional Memory: The Hardware-Software Interface. IEEE Micro, Vol. 27, No. 1, Jan./Febr. 2007. McDonald A., Carlstrom, B., Chung J.: Transactional Memory: The Hardware-Software Interface. IEEE Micro, Vol. 27, No. 1, Jan./Febr. 2007.
[NL91]
Zurück zum Zitat Nitzberg B. Lo V.: Distributed Shared Memory: A Survey of Issues and Algorithms. IEEE Computer Vol. 24, No. 6., August 1991. Nitzberg B. Lo V.: Distributed Shared Memory: A Survey of Issues and Algorithms. IEEE Computer Vol. 24, No. 6., August 1991.
[P90]
Zurück zum Zitat Przybylsku S. A.: Cache and Memory Hierarchy Design. A Performance-Directed Approach. Morgan Kaufmann Publisheres, Inc. 1990. Przybylsku S. A.: Cache and Memory Hierarchy Design. A Performance-Directed Approach. Morgan Kaufmann Publisheres, Inc. 1990.
[PBG85]
Zurück zum Zitat Pfister G.F., Brantley W.C., George D. A., et al.: The IBM research parallel Processor prototype (RP3): Introduction and architecture. In Proceedings International Conference on Parallel Processing, pages 764–771, 1985. Pfister G.F., Brantley W.C., George D. A., et al.: The IBM research parallel Processor prototype (RP3): Introduction and architecture. In Proceedings International Conference on Parallel Processing, pages 764–771, 1985.
[PTM98]
Zurück zum Zitat Protic J., Tomasevic M., Milutinovic V.: Distributed Shared Memory. Concepts and Systems. IEEE Computer Society Press, 1998. Protic J., Tomasevic M., Milutinovic V.: Distributed Shared Memory. Concepts and Systems. IEEE Computer Society Press, 1998.
[R97]
Zurück zum Zitat Richter H.: Verbindungsnetzwerke für parallele und verteilte Systeme. Spektrum Akademischer Verlag 1997.MATH Richter H.: Verbindungsnetzwerke für parallele und verteilte Systeme. Spektrum Akademischer Verlag 1997.MATH
[RT86]
Zurück zum Zitat Rettberg R., Thomas R.: Contention is no Obstacle to Shared-Memory Multiprocessing. Communications of the ACM, Vol. 29, No, 12, Dec 1986. Rettberg R., Thomas R.: Contention is no Obstacle to Shared-Memory Multiprocessing. Communications of the ACM, Vol. 29, No, 12, Dec 1986.
[S90]
Zurück zum Zitat Stenström P.: A Survey of Cache Coherence Schemes for Multiprocessors. IEEE Computer, Vol. 23, No. 6, June 1990. Stenström P.: A Survey of Cache Coherence Schemes for Multiprocessors. IEEE Computer, Vol. 23, No. 6, June 1990.
[S97]
Zurück zum Zitat Sinha P., K.: Distributed Operating Systems. Concepts and Design. IEEE Press 1997.MATH Sinha P., K.: Distributed Operating Systems. Concepts and Design. IEEE Press 1997.MATH
[SWG06]
Zurück zum Zitat Salapura V., Walkup R., Gara A.: Exploiting Workload Parallelism for Performance and Power Optimization in Blue Gene. IEEE Micro Vol. 26, No. 5, Sept. Oct. 2006. Salapura V., Walkup R., Gara A.: Exploiting Workload Parallelism for Performance and Power Optimization in Blue Gene. IEEE Micro Vol. 26, No. 5, Sept. Oct. 2006.
[T06]
Zurück zum Zitat Tanenbaum A. S.: Computerarchitektur, Strukturen, Konzepte – Grundlagen. 5. Auflage, Pearson Studium 2006. Tanenbaum A. S.: Computerarchitektur, Strukturen, Konzepte – Grundlagen. 5. Auflage, Pearson Studium 2006.
[T95]
Zurück zum Zitat Tanenbaum A. S.: Distributed Operating Systems. Prentice Hall Inc., 1995. Tanenbaum A. S.: Distributed Operating Systems. Prentice Hall Inc., 1995.
[TEE96]
Zurück zum Zitat Tullsen D.M., Eggers S. J., Emer J.S. et al.: Exploiting Choice: Instruction Fetch and Issue and Implementable Simultaneous Multithreading Processor. Proc. 23nd Annual Intern. Symp. On Computer Architecture, Philadelphia, PA 1996.CrossRef Tullsen D.M., Eggers S. J., Emer J.S. et al.: Exploiting Choice: Instruction Fetch and Issue and Implementable Simultaneous Multithreading Processor. Proc. 23nd Annual Intern. Symp. On Computer Architecture, Philadelphia, PA 1996.CrossRef
[WGH07]
Zurück zum Zitat Wentzlaff D., Griffin P., Hoffmann H., Bao L.,Edwards B., Ramey C., Mattina M., Miao C.-C, Brown III J.F., Agarwal A.: On-Chip Interconnection Architecture Of The Tile Processor, Vol. 27, No 5, September/October 2007. Wentzlaff D., Griffin P., Hoffmann H., Bao L.,Edwards B., Ramey C., Mattina M., Miao C.-C, Brown III J.F., Agarwal A.: On-Chip Interconnection Architecture Of The Tile Processor, Vol. 27, No 5, September/October 2007.
[WMH11]
Zurück zum Zitat Wijngaart R.F., Mattson T.G., Haas W. Ligth-weigth communications oh Intel’s single-chip cloud computer processor. ACM SIGOPS Operating Systems Review, Vol. 45, No. 1, Jan 2011. Wijngaart R.F., Mattson T.G., Haas W. Ligth-weigth communications oh Intel’s single-chip cloud computer processor. ACM SIGOPS Operating Systems Review, Vol. 45, No. 1, Jan 2011.
[ZM06]
Zurück zum Zitat Zobel J., Moffat A.: Inverted Files for Text Search Engines. ACM Computing Surveys, Vol. 38, No. 2, 2006. Zobel J., Moffat A.: Inverted Files for Text Search Engines. ACM Computing Surveys, Vol. 38, No. 2, 2006.
Metadaten
Titel
Rechnerarchitekturen für Parallele und Verteilte Systeme
verfasst von
Christian Baun
Günther Bengel
Marcel Kunze
Karl-Uwe Stucky
Copyright-Jahr
2015
DOI
https://doi.org/10.1007/978-3-8348-2151-5_2

Neuer Inhalt