Skip to main content

2011 | OriginalPaper | Buchkapitel

2. The Relevance of Reconfigurable Computing

verfasst von : Reiner Hartenstein

Erschienen in: Reconfigurable Computing

Verlag: Springer New York

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

This chapter introduces the highly promising future role of Reconfigurable Computing (RC) and emphasizes, that it is a critical survival issue for computing-supported infrastructures worldwide and stresses the urgency of moving RC from niche to mainstream. It urges acceptance of the massive challenge of reinventing computing, away from its currently obsolete CPU-processor-centric Aristotelian CS world model, over to a twin-paradigm Copernican model including and interlacing both, software and configware. It gives a flavor of the fundamentals of RC and the massive impact on the efficiency of computing it promises. Furthermore the chapter outlines the educational barriers we have to surmount and the urgent need for major funding on a global scale to run a world-wide mass movement, of a dimension at least as far reaching as the Mead-&-Conway-style VLSI design revolution in the early 1980s. The scenarios are similar: around 1980 an urgently needed designer population has been missing. Now a properly qualified programmer population is not existing. But this time the scenario is much more complex and the problem is more difficult, requiring not only a twin-paradigm approach for programming heterogeneous systems including both: many-core processors and reconfigurable accelerators, but also to find a solution to the parallelism crisis also called the “Programming wall”. The presentation of recent R&D advances in RC, especially those ones funded by the EU, are also subject of all other chapters of this book.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat John von Neumann: First Draft of a Report on the EDVAC; University of Pennsylvania, June 30, 1945 John von Neumann: First Draft of a Report on the EDVAC; University of Pennsylvania, June 30, 1945
2.
Zurück zum Zitat P. Wallich: Profile: Lynn Conway—Completing the Circuit; Scientific American Magazine, December 2000. P. Wallich: Profile: Lynn Conway—Completing the Circuit; Scientific American Magazine, December 2000.
3.
Zurück zum Zitat L. Conway: The MPC Adventures: Experiences with the Generation of VLSI Design and Implementation Methodologies; Microprocessing and Microprogramming - The Euromicro Journal, Vol. 10, No. 4, Nov 1982. L. Conway: The MPC Adventures: Experiences with the Generation of VLSI Design and Implementation Methodologies; Microprocessing and Microprogramming - The Euromicro Journal, Vol. 10, No. 4, Nov 1982.
4.
Zurück zum Zitat National Research Council: Funding a Revolution; National Academies Press; January 8, 1999 National Research Council: Funding a Revolution; National Academies Press; January 8, 1999
5.
Zurück zum Zitat N. N.: “The book that changed everything”, Electronic Design News, Feb. 11, 2009 N. N.: “The book that changed everything”, Electronic Design News, Feb. 11, 2009
6.
Zurück zum Zitat D. Kilbane: Lynn Conway - A trailblazer on professional, personal levels.” Electronic Design, October 23, 2003 D. Kilbane: Lynn Conway - A trailblazer on professional, personal levels.” Electronic Design, October 23, 2003
7.
Zurück zum Zitat R. Hartenstein: The Paramountcy of Reconfigurable Computing; in: Young-Choon Lee, Albert Zomaya (editors): Energy Aware Distributed Computing Systems; Wiley, 2011 R. Hartenstein: The Paramountcy of Reconfigurable Computing; in: Young-Choon Lee, Albert Zomaya (editors): Energy Aware Distributed Computing Systems; Wiley, 2011
8.
Zurück zum Zitat R. Hartenstein: The Grand Challenge To Reinvent Computing; XXX Congress of the SBC, 20–23 July 2010, Belo Horizonte, MG, Brazil, R. Hartenstein: The Grand Challenge To Reinvent Computing; XXX Congress of the SBC, 20–23 July 2010, Belo Horizonte, MG, Brazil,
10.
Zurück zum Zitat G. Fettweis: ICT Energy Consumption - Trends and Challenges; WPMC‘08, Lapland, Finland, 8 –11 Sep 2008 G. Fettweis: ICT Energy Consumption - Trends and Challenges; WPMC‘08, Lapland, Finland, 8 –11 Sep 2008
12.
Zurück zum Zitat R. H. Katz: Tech Titans Building Boom; IEEE Spectrum, February 2009 R. H. Katz: Tech Titans Building Boom; IEEE Spectrum, February 2009
15.
Zurück zum Zitat A. Zomaya et al.: Interweaving Heterogeneous Metaheuristics Using Harmony Search; Int’l Parallel and Distr. Processing Symp., May 23–29, Rome, Italy A. Zomaya et al.: Interweaving Heterogeneous Metaheuristics Using Harmony Search; Int’l Parallel and Distr. Processing Symp., May 23–29, Rome, Italy
16.
Zurück zum Zitat J. Rabaey: Low Power Design Essentials; Springer Verlag, 2009 J. Rabaey: Low Power Design Essentials; Springer Verlag, 2009
18.
Zurück zum Zitat Wu-chun Feng: On the Second Coming of Green Destiny?; International Conference on Energy-Aware HPC; Sep 16–17, 2010, Hamburg, Germany, Wu-chun Feng: On the Second Coming of Green Destiny?; International Conference on Energy-Aware HPC; Sep 16–17, 2010, Hamburg, Germany,
20.
Zurück zum Zitat W. Blendinger: Post Peak – Abstieg vom Peak Oil; ASPO annual conference, Berlin, 18 Mai 2010 W. Blendinger: Post Peak – Abstieg vom Peak Oil; ASPO annual conference, Berlin, 18 Mai 2010
21.
Zurück zum Zitat K. Aleklett: Post Peak – The Future of the Oil-Production; ASPO annual conference, Berlin, 18 Mai 2010 K. Aleklett: Post Peak – The Future of the Oil-Production; ASPO annual conference, Berlin, 18 Mai 2010
22.
Zurück zum Zitat J. S. Gabrielli de Azevedo: Petrobras e o Novo Marco Regulatório; São Paulo, Dec 1, 2009 J. S. Gabrielli de Azevedo: Petrobras e o Novo Marco Regulatório; São Paulo, Dec 1, 2009
24.
Zurück zum Zitat H. Ginsburg: Unterm Wasser liegt die Zukunft; Wirtschaftswoche 23, June 7, 2010 H. Ginsburg: Unterm Wasser liegt die Zukunft; Wirtschaftswoche 23, June 7, 2010
25.
Zurück zum Zitat H. Simon: Leibniz-Rechenzentrum, TU Munich, 2009, Garching, Germany H. Simon: Leibniz-Rechenzentrum, TU Munich, 2009, Garching, Germany
26.
Zurück zum Zitat J. Rabaey: Reconfigurable Processing: The Solution to Low-Power Programmable DSP, Proc. ICASSP 1997 J. Rabaey: Reconfigurable Processing: The Solution to Low-Power Programmable DSP, Proc. ICASSP 1997
27.
Zurück zum Zitat T. Claasen: High Speed: Not the Only Way to Exploit the Intrinsic Computational Power of Silicon; ISSCC-1999, pp. 22–25, Feb. 1999 T. Claasen: High Speed: Not the Only Way to Exploit the Intrinsic Computational Power of Silicon; ISSCC-1999, pp. 22–25, Feb. 1999
28.
Zurück zum Zitat R. Hartenstein: Why we need Reconfigurable Computing Education; 1st Int’l Workshop on Reconfigurable Computing Education (RC education 2006), March 1, 2006, KIT Karlsruhe Insitute of Technology, Germany R. Hartenstein: Why we need Reconfigurable Computing Education; 1st Int’l Workshop on Reconfigurable Computing Education (RC education 2006), March 1, 2006, KIT Karlsruhe Insitute of Technology, Germany
30.
Zurück zum Zitat A. Alex, J. Rose et al.: Hardware Accelerated Novel Protein Identification; Proc. FPL 2004, Aug. 29 - Sep 1, 2004, Antwerp, Belgium, A. Alex, J. Rose et al.: Hardware Accelerated Novel Protein Identification; Proc. FPL 2004, Aug. 29 - Sep 1, 2004, Antwerp, Belgium,
31.
33.
Zurück zum Zitat N. N. (Starbridge): Smith-Waterman pattern matching; National Cancer Inst., 2004 N. N. (Starbridge): Smith-Waterman pattern matching; National Cancer Inst., 2004
34.
Zurück zum Zitat A. Darabiha: Video-Rate Stereo Vision on Reconfigurable Hardware; Master Thesis, Univ. of Toronto, 2003 A. Darabiha: Video-Rate Stereo Vision on Reconfigurable Hardware; Master Thesis, Univ. of Toronto, 2003
35.
Zurück zum Zitat R. McCready: Real-Time Face Detection on a Configurable hardware Platform; Master thesis, U. Toronto R. McCready: Real-Time Face Detection on a Configurable hardware Platform; Master thesis, U. Toronto
36.
Zurück zum Zitat T. Fry, S. Hauck: Hyperspectral Image Compression on Reconfigurable Platforms; IFCCM 2002 T. Fry, S. Hauck: Hyperspectral Image Compression on Reconfigurable Platforms; IFCCM 2002
39.
Zurück zum Zitat R. Porter: Evolution on FPGAs for Feature Extraction; Ph.D. thesis; Queensland U. of Technology, Brisbane, Australia, 2001, R. Porter: Evolution on FPGAs for Feature Extraction; Ph.D. thesis; Queensland U. of Technology, Brisbane, Australia, 2001,
40.
Zurück zum Zitat E. Chitalwala: Starbridge Solutions to Supercomputing Problems; RSSI Reconf. Syst. Summer Inst., July 11–13, 2005, Urbana-Champaign, IL, USA E. Chitalwala: Starbridge Solutions to Supercomputing Problems; RSSI Reconf. Syst. Summer Inst., July 11–13, 2005, Urbana-Champaign, IL, USA
41.
Zurück zum Zitat S. D. Haynes, P. Y. K. Cheung, W. Luk, J. Stone: SONIC - A Plug-In Architecture for Video Processing; FPL 99 S. D. Haynes, P. Y. K. Cheung, W. Luk, J. Stone: SONIC - A Plug-In Architecture for Video Processing; FPL 99
43.
Zurück zum Zitat B. Schäfer et al.: Implementation Of The Discrete Element Method Using Reconfigurable Computing; 15th ASCE Engineering Mechanics Conf., June 2–5, 2002, New York B. Schäfer et al.: Implementation Of The Discrete Element Method Using Reconfigurable Computing; 15th ASCE Engineering Mechanics Conf., June 2–5, 2002, New York
44.
Zurück zum Zitat G. Lienhart: Beschleunigung Hydrodynamischer N-Körper-Simulationen mit Rekonfigurierbaren Rechensystemen; Joint 33 rd Speedup and 19th PARS Workshop; Basel, Switzerland, March 19–21, 2003 G. Lienhart: Beschleunigung Hydrodynamischer N-Körper-Simulationen mit Rekonfigurier­baren Rechensystemen; Joint 33 rd Speedup and 19th PARS Workshop; Basel, Switzerland, March 19–21, 2003
45.
Zurück zum Zitat O. O. Storaasli, D. Strenski: Experiences on 64 and 150 FPGA Systems; Reconfig. Syst. Summer Inst., July 7–9, 2008, Urbana-Champaign, IL, USA O. O. Storaasli, D. Strenski: Experiences on 64 and 150 FPGA Systems; Reconfig. Syst. Summer Inst., July 7–9, 2008, Urbana-Champaign, IL, USA
46.
Zurück zum Zitat A. A. Gaffar and W. Luk: Accelerating Radiosity Calculations; FCCM 2002 A. A. Gaffar and W. Luk: Accelerating Radiosity Calculations; FCCM 2002
47.
Zurück zum Zitat M. Gokhale et al.: Acceleration of Traffic Simulation on Reconfigurable Hardware; 2004 MAPLD Int’l Conf., Sep 8–10, 2004, Washington, D.C., USA M. Gokhale et al.: Acceleration of Traffic Simulation on Reconfigurable Hardware; 2004 MAPLD Int’l Conf., Sep 8–10, 2004, Washington, D.C., USA
48.
Zurück zum Zitat J. Hammes, D. Poznanovic: Application Development on the SRC Computers, Inc. Systems; RSSI Reconfigurable Systems Summer Institute, July 11–13, 2005, Urbana-Champaign, IL, USA J. Hammes, D. Poznanovic: Application Development on the SRC Computers, Inc. Systems; RSSI Reconfigurable Systems Summer Institute, July 11–13, 2005, Urbana-Champaign, IL, USA
49.
Zurück zum Zitat W. Roelandts (Keynote): FPGAs and the Era of Field Programmability; Proc. FPL 2004, Aug. 29 - Sep 1, 2004, Antwerp, Belgium, W. Roelandts (Keynote): FPGAs and the Era of Field Programmability; Proc. FPL 2004, Aug. 29 - Sep 1, 2004, Antwerp, Belgium,
50.
Zurück zum Zitat F. Dittrich: World’s Fastest Lanman/NTLM Key Recovery Server Shipped; Picocomputing 2006 F. Dittrich: World’s Fastest Lanman/NTLM Key Recovery Server Shipped; Picocomputing 2006
52.
Zurück zum Zitat R. Jacobi, M. Ayala-Rincón, L. Carvalho, C. Llanos, R. Hartenstein: Reconfigurable systems for sequence alignment and for general dynamic programming; Genetics and Molecular Research 2005 R. Jacobi, M. Ayala-Rincón, L. Carvalho, C. Llanos, R. Hartenstein: Reconfigurable systems for sequence alignment and for general dynamic programming; Genetics and Molecular Research 2005
53.
Zurück zum Zitat M. Feldman: In Fermi’s Wake, a Place for FPGAs? HPCwire, Oct. 15, 2009 M. Feldman: In Fermi’s Wake, a Place for FPGAs? HPCwire, Oct. 15, 2009
55.
Zurück zum Zitat M. Feldman: The FPGA crowd reacts; HPCwire Oct. 15, 2009 M. Feldman: The FPGA crowd reacts; HPCwire Oct. 15, 2009
56.
Zurück zum Zitat N. Conner: FPGAs for Dummies - FPGAs keep you moving in a fast-changing world; Wiley, 2008 N. Conner: FPGAs for Dummies - FPGAs keep you moving in a fast-changing world; Wiley, 2008
57.
Zurück zum Zitat R. Hartenstein (invited paper): The Microprocessor is no more General Purpose: why Future Reconfigurable Platforms will win; Proc. Int’l Conf. on Innovative Systems in Silicon, ISIS’97, Austin, Texas, USA, Oct 8–10, 1997 R. Hartenstein (invited paper): The Microprocessor is no more General Purpose: why Future Reconfigurable Platforms will win; Proc. Int’l Conf. on Innovative Systems in Silicon, ISIS’97, Austin, Texas, USA, Oct 8–10, 1997
60.
Zurück zum Zitat R. Hartenstein, A. G. Hirschbiel, M. Weber: MOM-map-oriented machine - a partly custom-designed architecture compared to standard hardware; Proc. IEEE CompEuro, Hamburg, Germany, May 1989 R. Hartenstein, A. G. Hirschbiel, M. Weber: MOM-map-oriented machine - a partly custom-designed architecture compared to standard hardware; Proc. IEEE CompEuro, Hamburg, Germany, May 1989
61.
Zurück zum Zitat R. Hartenstein, A. Hirschbiel, M.Weber: A Novel Paradigm of Parallel Computation and its Use to Implement Simple High Performance Hardware; Proc. InfoJapan’90, Tokyo, Japan, 1990 R. Hartenstein, A. Hirschbiel, M.Weber: A Novel Paradigm of Parallel Computation and its Use to Implement Simple High Performance Hardware; Proc. InfoJapan’90, Tokyo, Japan, 1990
62.
Zurück zum Zitat R. Hartenstein et al. (invited reprint): A Novel Paradigm of Parallel Computation and its Use to Implement Simple High Performance Hardware; Future Generation Computer Systems, no. 7, pp. 181–198 (North-Holland) R. Hartenstein et al. (invited reprint): A Novel Paradigm of Parallel Computation and its Use to Implement Simple High Performance Hardware; Future Generation Computer Systems, no. 7, pp. 181–198 (North-Holland)
63.
Zurück zum Zitat M. Weber et al.: Automatic Synthesis of Cheap Hardware Accelerators for Signal Processing and Image Preprocessing; 12. DAGM-Symp. Mustererkennung (Pattern Recognition), Oberkochen-Aalen, Germany 1990 M. Weber et al.: Automatic Synthesis of Cheap Hardware Accelerators for Signal Processing and Image Preprocessing; 12. DAGM-Symp. Mustererkennung (Pattern Recognition), Oberkochen-Aalen, Germany 1990
66.
Zurück zum Zitat J. Turley: How Many Times Does CPU Go Into FPGA? Embedded Technology Journal - June 8, 2010 J. Turley: How Many Times Does CPU Go Into FPGA? Embedded Technology Journal - June 8, 2010
67.
Zurück zum Zitat Selwood: EPP - A Platform to Bridge a Gap? Emb. Technology J. June 8, 2010 Selwood: EPP - A Platform to Bridge a Gap? Emb. Technology J. June 8, 2010
69.
Zurück zum Zitat Jih-Sheng Shen, Pao-Ann Hsiung (editors): Dynamic Reconfigurable Network-On-Chip Design: Innovations for Computational Processing and Communication; Information Science Pub, April 2010 Jih-Sheng Shen, Pao-Ann Hsiung (editors): Dynamic Reconfigurable Network-On-Chip Design: Innovations for Computational Processing and Communication; Information Science Pub, April 2010
71.
Zurück zum Zitat R. Baxter et al.: High-Performance Reconfigurable Computing – the View from Edinburgh; AHS 2007, Aug 5–8, 2007, Edinburgh, UK R. Baxter et al.: High-Performance Reconfigurable Computing – the View from Edinburgh; AHS 2007, Aug 5–8, 2007, Edinburgh, UK
73.
Zurück zum Zitat E. El-Araby et al.: Exploiting Partial Runtime Reconfiguration for High-Performance Reconfigurable Computing. ACM Transactions on Reconfigurable Technology and Systems (TRETS) 1(4): (2009) E. El-Araby et al.: Exploiting Partial Runtime Reconfiguration for High-Performance Reconfigurable Computing. ACM Transactions on Reconfigurable Technology and Systems (TRETS) 1(4): (2009)
74.
Zurück zum Zitat E. El-Araby et al.: Productivity Of High-level Languages On Reconfigurable Computers: An HPC Perspective; IEEE Int’l Conf. on Field-Programmable Technology (ICFPT 2007), Japan, December 2007. E. El-Araby et al.: Productivity Of High-level Languages On Reconfigurable Computers: An HPC Perspective; IEEE Int’l Conf. on Field-Programmable Technology (ICFPT 2007), Japan, December 2007.
75.
Zurück zum Zitat E. El-Araby et al.: Comparative Analysis Of High Level Programming For Reconfigurable Computers: Methodology And Empirical Study; III Southern Conf. on Programmable Logic (SPL2007), Mar Del Plata, Argentina, Feb 2007 E. El-Araby et al.: Comparative Analysis Of High Level Programming For Reconfigurable Computers: Methodology And Empirical Study; III Southern Conf. on Programmable Logic (SPL2007), Mar Del Plata, Argentina, Feb 2007
76.
Zurück zum Zitat J. Backus: Can programming be liberated from the von Neumann style? C.ACM Aug 1978 J. Backus: Can programming be liberated from the von Neumann style? C.ACM Aug 1978
77.
Zurück zum Zitat E. W. Dijkstra: The Goto considered harmful; Comm ACM, March 1968 E. W. Dijkstra: The Goto considered harmful; Comm ACM, March 1968
78.
Zurück zum Zitat N. Wirth: A Plea for Lean Software, IEEE Computer, 28, 2, (Feb. 1995) N. Wirth: A Plea for Lean Software, IEEE Computer, 28, 2, (Feb. 1995)
79.
Zurück zum Zitat Arvind et al.: A critique of Multiprocessing the von Neumann Style; ISCA 1983 Arvind et al.: A critique of Multiprocessing the von Neumann Style; ISCA 1983
80.
Zurück zum Zitat Peter G. Neumann 1985–2003: 216x “Inside Risks“ (18 years in the back cover of each issue of C_ACM) Peter G. Neumann 1985–2003: 216x “Inside Risks“ (18 years in the back cover of each issue of C_ACM)
81.
Zurück zum Zitat R. Hartenstein, G. Koch: The universal Bus considered harmful; Workshop on the Microarchitecture of Computer Syst, June 23–25, 1975, Nice, France R. Hartenstein, G. Koch: The universal Bus considered harmful; Workshop on the Microarchitecture of Computer Syst, June 23–25, 1975, Nice, France
83.
Zurück zum Zitat R. Hameed et al.: Understanding Sources of Inefficiency in General-Purpose Chips; 37th ISCA, June 19–23, 2010, Saint Malo, France R. Hameed et al.: Understanding Sources of Inefficiency in General-Purpose Chips; 37th ISCA, June 19–23, 2010, Saint Malo, France
84.
Zurück zum Zitat T. C. Chen et al., “Analysis and architecture design of an HDTV720p 30 frames/s H.264/AVC encoder,” Circuits and Systems for Video Technology, IEEE Transactions on, vol.16, no.6, pp. 673–688, June 2006 T. C. Chen et al., “Analysis and architecture design of an HDTV720p 30 frames/s H.264/AVC encoder,” Circuits and Systems for Video Technology, IEEE Transactions on, vol.16, no.6, pp. 673–688, June 2006
85.
Zurück zum Zitat S. McKee: Reflections on the memory wall; Computing Frontiers, Ischia, Italy, 2004 S. McKee: Reflections on the memory wall; Computing Frontiers, Ischia, Italy, 2004
86.
Zurück zum Zitat J. L. Hennessy, D. A. Patterson, Computer Architecture: a Quantitative Approach, Morgan-Kaufman, San Mateo, CA, 1990 J. L. Hennessy, D. A. Patterson, Computer Architecture: a Quantitative Approach, Morgan-Kaufman, San Mateo, CA, 1990
87.
Zurück zum Zitat Kiran Bondalapati, Viktor K. Prasanna: Mapping Loops onto Reconfigurable Architectures; 8th Int’l Workshop on Field-Programmable Logic and Applications, Sep 1998, Tallinn, Estonia Kiran Bondalapati, Viktor K. Prasanna: Mapping Loops onto Reconfigurable Architectures; 8th Int’l Workshop on Field-Programmable Logic and Applications, Sep 1998, Tallinn, Estonia
88.
Zurück zum Zitat M. Huebner, D. Goehringer, J. Noguera, J. Becker: Fast dynamic and partial reconfiguration Data Path with low Hardware overhead on Xilinx FPGAs; Proc. RAW 2010, Atlanta, USA, April, 2010 M. Huebner, D. Goehringer, J. Noguera, J. Becker: Fast dynamic and partial reconfiguration Data Path with low Hardware overhead on Xilinx FPGAs; Proc. RAW 2010, Atlanta, USA, April, 2010
89.
Zurück zum Zitat J. Larus: Spending Moore’s Dividend; Comm ACM, May 2009 J. Larus: Spending Moore’s Dividend; Comm ACM, May 2009
90.
Zurück zum Zitat Juergen Becker, et al.: Data-procedural Languages for FPL-based Machines; FPL’94, Prague, September 7–10, 1994, Prague, Czechia. Juergen Becker, et al.: Data-procedural Languages for FPL-based Machines; FPL’94, Prague, September 7–10, 1994, Prague, Czechia.
91.
Zurück zum Zitat C. Bell et al: The Description and Use of Register-Transfer Modules (RTM’s); IEEE Trans-C21/5, May 1972 C. Bell et al: The Description and Use of Register-Transfer Modules (RTM’s); IEEE Trans-C21/5, May 1972
92.
Zurück zum Zitat M. Ayala, C. Llanos, R. Jacobi, R. Hartenstein: Prototyping Time and Space Efficient Computations of Algebraic Operations over Dynamically Reconfigurable Systems Modeled by Rewriting-Logic; ACM TODAES, 2006 M. Ayala, C. Llanos, R. Jacobi, R. Hartenstein: Prototyping Time and Space Efficient Computations of Algebraic Operations over Dynamically Reconfigurable Systems Modeled by Rewriting-Logic; ACM TODAES, 2006
94.
Zurück zum Zitat D. Parnas (keynote): Teaching for Change; 10th Conf Softw Engrg Education and Training (CSEET), April 13–16, 1997, Virginia Beach, VA, USA D. Parnas (keynote): Teaching for Change; 10th Conf Softw Engrg Education and Training (CSEET), April 13–16, 1997, Virginia Beach, VA, USA
95.
Zurück zum Zitat Fig. 13, R. Hartenstein: The History of KARL and ABL; in: J. Mermet: Fundamentals and Standards in Hardware Description Languages; Kluwer, 1993 Fig. 13, R. Hartenstein: The History of KARL and ABL; in: J. Mermet: Fundamentals and Standards in Hardware Description Languages; Kluwer, 1993
96.
Zurück zum Zitat M. Barbacci: The ISPS Computer Description Language; Carnegie-Mellon Univ., Dept. of Computer Science, 1977 M. Barbacci: The ISPS Computer Description Language; Carnegie-Mellon Univ., Dept. of Computer Science, 1977
97.
Zurück zum Zitat N. N. (I forgot the celebrity’s name): Computer Magazine, 1970 or 1971 N. N. (I forgot the celebrity’s name): Computer Magazine, 1970 or 1971
98.
Zurück zum Zitat R. Hartenstein (keynote): Reconfigurable Computing: boosting Software Education for the Multicore Era; IV Southern Programmable Logic Conference (SPL 2010), Porto Galinhas Beach, Brazil, 24–26 March 2010 R. Hartenstein (keynote): Reconfigurable Computing: boosting Software Education for the Multicore Era; IV Southern Programmable Logic Conference (SPL 2010), Porto Galinhas Beach, Brazil, 24–26 March 2010
100.
Zurück zum Zitat K. Asanovic et al.: A view of the parallel computing landscape. Comm. ACM 52,10 (2009) K. Asanovic et al.: A view of the parallel computing landscape. Comm. ACM 52,10 (2009)
102.
Zurück zum Zitat S. Moore: Multicore Is Bad News For Supercomputers; IEEE Spectrum, S. Moore: Multicore Is Bad News For Supercomputers; IEEE Spectrum,
103.
Zurück zum Zitat M. Broy, R. Reussner: Architectural Concepts in Programming Languages; Computer Oct 2010 M. Broy, R. Reussner: Architectural Concepts in Programming Languages; Computer Oct 2010
104.
Zurück zum Zitat D. Selwood: Showing off in San Jose; Embedded Technology J., June 8, 2010 D. Selwood: Showing off in San Jose; Embedded Technology J., June 8, 2010
105.
Zurück zum Zitat M. Anderson: Understanding Multi-Core in Embedded Systems; The PTR Group, Falls Church VA, USA, June 15, 2010 M. Anderson: Understanding Multi-Core in Embedded Systems; The PTR Group, Falls Church VA, USA, June 15, 2010
106.
Zurück zum Zitat Rick Merritt: Next computing target—exascale systems; EEtimes, Nov. 15, 2010, Rick Merritt: Next computing target—exascale systems; EEtimes, Nov. 15, 2010,
108.
Zurück zum Zitat Kevin Morris: Why FPGAs Will Win; FPGA and Programmable Logic Journal Update (techfocus media). 30 Nov 30, 2010 Kevin Morris: Why FPGAs Will Win; FPGA and Programmable Logic Journal Update (techfocus media). 30 Nov 30, 2010
109.
Zurück zum Zitat Garrison Jeff: What! How big did you say that FPGA is? (Team-design for FPGAs) (EETimes Design Article). 27 Sept. 2010 Garrison Jeff: What! How big did you say that FPGA is? (Team-design for FPGAs) (EETimes Design Article). 27 Sept. 2010
110.
Zurück zum Zitat S. Z. Ahmed, G. Sassatelli, L. Torres, L. Rougé: Survey of new Trends in Industry for Programmable Hardware; 20th FPL, Milano, Italy, Aug 31 - Sep 2, 2010 S. Z. Ahmed, G. Sassatelli, L. Torres, L. Rougé: Survey of new Trends in Industry for Programmable Hardware; 20th FPL, Milano, Italy, Aug 31 - Sep 2, 2010
111.
Zurück zum Zitat Alberto Sangiovanni-Vincentelli: Quo Vadis, SLD? Proc. IEEE, March 2007 Alberto Sangiovanni-Vincentelli: Quo Vadis, SLD? Proc. IEEE, March 2007
112.
Zurück zum Zitat N. Voros, R. Nikolaos, A. Rosti, M. Hübner (editors): Dynamic System Reconfiguration in Heterogeneous Platforms - The MORPHEUS Approach; Springer, 2009 N. Voros, R. Nikolaos, A. Rosti, M. Hübner (editors): Dynamic System Reconfiguration in Heterogeneous Platforms - The MORPHEUS Approach; Springer, 2009
113.
Zurück zum Zitat Ch. Bobda: Introduction to Reconfigurable Computing - Architectures, Algorithms, Applications; Springer Verlag, 2007 Ch. Bobda: Introduction to Reconfigurable Computing - Architectures, Algorithms, Applications; Springer Verlag, 2007
114.
Zurück zum Zitat personal communication from authors of other chapters of this book personal communication from authors of other chapters of this book
116.
Zurück zum Zitat V. W. Lee et al.: Debunking the 100X GPU vs. CPU myth; 37th ISCA, June 19–23, 2010, Saint-Malo, France, V. W. Lee et al.: Debunking the 100X GPU vs. CPU myth; 37th ISCA, June 19–23, 2010, Saint-Malo, France,
117.
Zurück zum Zitat R. Vaduc et al.: On the Limits of GPU Acceleration; USENIX Workshop HotPar’2010, June 14–15, 2010, Berkeley, CA, USA R. Vaduc et al.: On the Limits of GPU Acceleration; USENIX Workshop HotPar’2010, June 14–15, 2010, Berkeley, CA, USA
118.
Zurück zum Zitat R. Bordawekar et al.: Believe it or Not! Multicore CPUs can Match GPUs for FLOP-intensive Applications! IBM Research Report, April 23, 2010 R. Bordawekar et al.: Believe it or Not! Multicore CPUs can Match GPUs for FLOP-intensive Applications! IBM Research Report, April 23, 2010
119.
Zurück zum Zitat P. Lysaght: The Programmable Logic Perspective; FPL-2010, Sep 2010, Milano, Italy P. Lysaght: The Programmable Logic Perspective; FPL-2010, Sep 2010, Milano, Italy
120.
Zurück zum Zitat Thomas Scogland, Heshan Lin, Wu-chun Feng: A First Look at Integrated GPUs for Green High Performance Computing; In’l Conf. on Energy-Aware HPC; Sep 16–17, 2010, Hamburg, Germany, http://ena-hpc.org/index.html Thomas Scogland, Heshan Lin, Wu-chun Feng: A First Look at Integrated GPUs for Green High Performance Computing; In’l Conf. on Energy-Aware HPC; Sep 16–17, 2010, Hamburg, Germany, http://​ena-hpc.​org/​index.​html
Metadaten
Titel
The Relevance of Reconfigurable Computing
verfasst von
Reiner Hartenstein
Copyright-Jahr
2011
Verlag
Springer New York
DOI
https://doi.org/10.1007/978-1-4614-0061-5_2

Neuer Inhalt