Skip to main content
Erschienen in: The Journal of Supercomputing 6/2021

05.11.2020

Toward a general framework for jointly processor-workload empirical modeling

verfasst von: Hamed Sheidaeian, Omid Fatemi

Erschienen in: The Journal of Supercomputing | Ausgabe 6/2021

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

The complexity of state-of-the-art processor architectures and their consequent vast design spaces have made it difficult and time-consuming to explore the best configuration for them. Design space exploration (DSE) refers to systematic analysis and pruning of unwanted design points based on parameters of interest. DSE requires analysis and estimation of performance criteria of design points. A more accurate estimation produces a more efficient target design. A typical estimation method is machine learning approaches based on statistical inference, also known as empirical modeling, which requires only a limited number of simulations. Undoubtedly, an empirical model finds the optima much faster than using cycle-accurate simulations and is much more accurate than employing analytical models. For that purpose, our paper proposes a general methodology and a framework to find an appropriate and most accurate empirical model to estimate the performance of general-purpose or embedded multiprocessors running multithreaded workloads. This framework consists of three main steps: (1) Workload characterization and clustering, (2) Finding optimal model, and (3) Estimating the performance of a new workload outside the training set. These optimal performance prediction models could be utilized in the process of exploring the architectural design space. An experimental case is also tested using this framework for feasibility purposes. Validation experiments show MAEs less than 10% for this case.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Lee BC, Brooks DM (2007) Illustrative Design Space Studies with Microarchitectural Regression Models. In: IEEE 13th International Symposium on High-Performance Computer Architecture Lee BC, Brooks DM (2007) Illustrative Design Space Studies with Microarchitectural Regression Models. In: IEEE 13th International Symposium on High-Performance Computer Architecture
2.
Zurück zum Zitat Lee BC, Brooks DM (2010) Applied inference: case studies in microarchitectural design. ACM Transactions on Architecture and Code Optimization 7:1–37CrossRef Lee BC, Brooks DM (2010) Applied inference: case studies in microarchitectural design. ACM Transactions on Architecture and Code Optimization 7:1–37CrossRef
3.
Zurück zum Zitat Steen SVD et al (2015) Micro-architecture independent analytical processor performance and power modeling. In: IEEE Computer Society Steen SVD et al (2015) Micro-architecture independent analytical processor performance and power modeling. In: IEEE Computer Society
4.
Zurück zum Zitat Steen SVD et al (2016) Analytical processor performance and power modeling using micro-architecture independent characteristics”. IEEE Transactions on Computers 65(12):3537MathSciNetMATH Steen SVD et al (2016) Analytical processor performance and power modeling using micro-architecture independent characteristics”. IEEE Transactions on Computers 65(12):3537MathSciNetMATH
5.
Zurück zum Zitat Jongerius R et al (2018) Analytic multi-core processor model for fast design-space exploration. IEEE Transactions on Computers 67(6):755MathSciNetCrossRef Jongerius R et al (2018) Analytic multi-core processor model for fast design-space exploration. IEEE Transactions on Computers 67(6):755MathSciNetCrossRef
6.
Zurück zum Zitat Cui W et al (2018) Charm: a language for closed-form high-level architecture modeling. In: ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA) Cui W et al (2018) Charm: a language for closed-form high-level architecture modeling. In: ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA)
7.
Zurück zum Zitat Carlson TE et al (2014) An evaluation of high-level mechanistic core models. ACM Transactions on Architecture and Code Optimization 11(3):1–25CrossRef Carlson TE et al (2014) An evaluation of high-level mechanistic core models. ACM Transactions on Architecture and Code Optimization 11(3):1–25CrossRef
8.
Zurück zum Zitat Breughe MB, Eyerman S, Eeckhout L (2015) Mechanistic Analytical Modeling of Superscalar In-Order Processor Performance. ACM Transactions on Architecture and Code Optimization 11(4):1–26CrossRef Breughe MB, Eyerman S, Eeckhout L (2015) Mechanistic Analytical Modeling of Superscalar In-Order Processor Performance. ACM Transactions on Architecture and Code Optimization 11(4):1–26CrossRef
9.
Zurück zum Zitat Ahmadinejad Hoda, Fatemi Omid (2018) Moving Towards Grey-Box Predictive Models at Micro-architecture Level by Investigating Inherent Program Characteristics. IET Computers & Digital Techniques 12(2):53CrossRef Ahmadinejad Hoda, Fatemi Omid (2018) Moving Towards Grey-Box Predictive Models at Micro-architecture Level by Investigating Inherent Program Characteristics. IET Computers & Digital Techniques 12(2):53CrossRef
10.
Zurück zum Zitat Zhang Y et al (2017) A novel evaluation method for superscalar out-of-order ARM microprocessors targeting android applications. In: IEEE Pacific Rim Conference on Communications, Computers and Signal Processing (PACRIM) Zhang Y et al (2017) A novel evaluation method for superscalar out-of-order ARM microprocessors targeting android applications. In: IEEE Pacific Rim Conference on Communications, Computers and Signal Processing (PACRIM)
11.
Zurück zum Zitat Pestel SD et al (2018) RPPM: Rapid performance prediction of multithreaded applications on multicore hardware. IEEE Computer Architecture Letters 12(2):183CrossRef Pestel SD et al (2018) RPPM: Rapid performance prediction of multithreaded applications on multicore hardware. IEEE Computer Architecture Letters 12(2):183CrossRef
12.
Zurück zum Zitat Silvano C et al (2010) MULTICUBE: multi-objective design space exploration of multi-core architectures. In: IEEE Computer Society Annual Symposium on VLSI Silvano C et al (2010) MULTICUBE: multi-objective design space exploration of multi-core architectures. In: IEEE Computer Society Annual Symposium on VLSI
13.
Zurück zum Zitat Thornton C et al (2013) Auto-WEKA: combined selection and hyperparameter optimization of classification algorithms. In: 19th ACM SIGKDD International Conference on Knowledge discovery and data mining Thornton C et al (2013) Auto-WEKA: combined selection and hyperparameter optimization of classification algorithms. In: 19th ACM SIGKDD International Conference on Knowledge discovery and data mining
14.
Zurück zum Zitat Hutter F, Hoos HH, Leyton-Brown K (2011) Sequential model-based optimization for general algorithm configuration. In: 5th International Conference on Learning and Intelligent Optimization Italy Hutter F, Hoos HH, Leyton-Brown K (2011) Sequential model-based optimization for general algorithm configuration. In: 5th International Conference on Learning and Intelligent Optimization Italy
15.
Zurück zum Zitat Joseph P, Vaswani K, Thazhuthaveetil MJ (2006) Construction and use of linear regression models for processor performance analysis. In: 12th Symposium on High-Performance Computer Architecture Joseph P, Vaswani K, Thazhuthaveetil MJ (2006) Construction and use of linear regression models for processor performance analysis. In: 12th Symposium on High-Performance Computer Architecture
16.
Zurück zum Zitat Lee BC, Brooks DM (2006) Accurate and efficient regression modeling for microarchitectural performance and power prediction. In: 12th International Conference on Architectural Support for Programming Languages and Operating Systems Lee BC, Brooks DM (2006) Accurate and efficient regression modeling for microarchitectural performance and power prediction. In: 12th International Conference on Architectural Support for Programming Languages and Operating Systems
17.
Zurück zum Zitat Rodrigues R et al (2013) A study on the use of performance counters to estimate power in microprocessors. IEEE Transactions on Circuits and Systems II: Express Briefs 60(12):882CrossRef Rodrigues R et al (2013) A study on the use of performance counters to estimate power in microprocessors. IEEE Transactions on Circuits and Systems II: Express Briefs 60(12):882CrossRef
18.
Zurück zum Zitat Powell MD et al (2009) CAMP: a technique to estimate per-structure power at run-time using a few simple parameters. In: The IEEE 15th International Symposium on High-Performance Computer Architecture Powell MD et al (2009) CAMP: a technique to estimate per-structure power at run-time using a few simple parameters. In: The IEEE 15th International Symposium on High-Performance Computer Architecture
19.
Zurück zum Zitat Lively C et al (2011) Power-aware predictive models of hybrid (MPI/OpenMP) scientific applications on multicore systems. Computer Science-Research and Development, Springer 27:245CrossRef Lively C et al (2011) Power-aware predictive models of hybrid (MPI/OpenMP) scientific applications on multicore systems. Computer Science-Research and Development, Springer 27:245CrossRef
20.
Zurück zum Zitat Vijayalakshmi S et al (2011) A study on factors influencing power consumption in multithreaded and multi-core CPUs. WSEAS Transactions on Computers 10(3):93 Vijayalakshmi S et al (2011) A study on factors influencing power consumption in multithreaded and multi-core CPUs. WSEAS Transactions on Computers 10(3):93
21.
Zurück zum Zitat Lee BC et al (2008) CPR: composable performance regression for scalable multiprocessor models. In: The International Symposium on Microarchitecture Lee BC et al (2008) CPR: composable performance regression for scalable multiprocessor models. In: The International Symposium on Microarchitecture
22.
Zurück zum Zitat Wu W, Lee BC (2012) Inferred models for dynamic and sparse hardware-software spaces. In: The 45th Annual IEEE/ACM International Symposium on Microarchitecture Wu W, Lee BC (2012) Inferred models for dynamic and sparse hardware-software spaces. In: The 45th Annual IEEE/ACM International Symposium on Microarchitecture
23.
Zurück zum Zitat Shafiabadi MH et al (2020) Comprehensive Regression-based Model to Predict Performance of General-Purpose Graphics Processing Unit. Journal of Cluster Computing 23:1505CrossRef Shafiabadi MH et al (2020) Comprehensive Regression-based Model to Predict Performance of General-Purpose Graphics Processing Unit. Journal of Cluster Computing 23:1505CrossRef
24.
Zurück zum Zitat Ipek E et al (2008) Efficient architectural design space exploration via predictive modeling. ACM Transactions on Architecture and Code Optimization 4(4):1–34CrossRef Ipek E et al (2008) Efficient architectural design space exploration via predictive modeling. ACM Transactions on Architecture and Code Optimization 4(4):1–34CrossRef
25.
Zurück zum Zitat O’Neal K, Brisk P (2018) Predictive modeling for CPU, GPU, and FPGA performance and power consumption: a survey. In: IEEE Computer Society Annual Symposium on VLSI O’Neal K, Brisk P (2018) Predictive modeling for CPU, GPU, and FPGA performance and power consumption: a survey. In: IEEE Computer Society Annual Symposium on VLSI
26.
Zurück zum Zitat Dubach C, Jones TM, O’Boyle MFP (2007) Microarchitectural design space exploration using an architecture-centric approach. In: The 40th Annual IEEE/ACM International Symposium on Microarchitecture Dubach C, Jones TM, O’Boyle MFP (2007) Microarchitectural design space exploration using an architecture-centric approach. In: The 40th Annual IEEE/ACM International Symposium on Microarchitecture
27.
Zurück zum Zitat Dubach C, Jones TM, O’Boyle MFP (2009) Rapid early-stage microarchitecture design using predictive models. In: The IEEE International Conference on Computer Design Dubach C, Jones TM, O’Boyle MFP (2009) Rapid early-stage microarchitecture design using predictive models. In: The IEEE International Conference on Computer Design
28.
Zurück zum Zitat Lee BC et al (2007) Methods of inference and learning for performance modeling of parallel applications. In: The 12th ACM SIGPLAN Symposium on Principles and practice of parallel programming Lee BC et al (2007) Methods of inference and learning for performance modeling of parallel applications. In: The 12th ACM SIGPLAN Symposium on Principles and practice of parallel programming
29.
Zurück zum Zitat Ozisikyilmaz B, Memik G, Choudhary A (2008) Efficient system design space exploration using machine learning techniques. In: The 45th ACM/IEEE Design Automation Conference Ozisikyilmaz B, Memik G, Choudhary A (2008) Efficient system design space exploration using machine learning techniques. In: The 45th ACM/IEEE Design Automation Conference
30.
Zurück zum Zitat Yan W, Liu J, Lin C (2010) A hybrid modeling approach to microarchitecture design space exploring. In: The 9th International Conference on Grid and Cooperative Computing Yan W, Liu J, Lin C (2010) A hybrid modeling approach to microarchitecture design space exploring. In: The 9th International Conference on Grid and Cooperative Computing
31.
Zurück zum Zitat Joseph PJ, Vaswani K, Thazhuthaveetil MJ (2006) A predictive performance model for superscalar processors. In: The 39th Annual IEEE/ACM International Symposium on Microarchitecture Joseph PJ, Vaswani K, Thazhuthaveetil MJ (2006) A predictive performance model for superscalar processors. In: The 39th Annual IEEE/ACM International Symposium on Microarchitecture
32.
Zurück zum Zitat Dubach C, Jones TM, O’Boyle MFP (2008) Exploring and predicting the architecture/optimizing compiler co-design space. In: The International Conference on Compilers, Architectures, and Synthesis for Embedded Systems Dubach C, Jones TM, O’Boyle MFP (2008) Exploring and predicting the architecture/optimizing compiler co-design space. In: The International Conference on Compilers, Architectures, and Synthesis for Embedded Systems
33.
Zurück zum Zitat Li B, Peng L, Ramadass B (2009) Accurate and efficient processor performance prediction via regression tree-based modeling. Journal of Systems Architecture: the EUROMICRO Journal archive 55(10):457CrossRef Li B, Peng L, Ramadass B (2009) Accurate and efficient processor performance prediction via regression tree-based modeling. Journal of Systems Architecture: the EUROMICRO Journal archive 55(10):457CrossRef
34.
Zurück zum Zitat T. Chen et al., “Effective and Efficient Microprocessor Design Space Exploration Using Unlabeled Design Configurations”, ACM Transactions on Intelligent Systems and Technology, 2014 T. Chen et al., “Effective and Efficient Microprocessor Design Space Exploration Using Unlabeled Design Configurations”, ACM Transactions on Intelligent Systems and Technology, 2014
35.
Zurück zum Zitat Rai JK et al (2010) Performance prediction on multi-core processors. In: The International Conference on Computational Intelligence and Communication Networks, India Rai JK et al (2010) Performance prediction on multi-core processors. In: The International Conference on Computational Intelligence and Communication Networks, India
36.
Zurück zum Zitat Lahiri K, Kunnoth S (2017) Fast IPC estimation for performance projections using proxy suites and decision trees. In: IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS) Lahiri K, Kunnoth S (2017) Fast IPC estimation for performance projections using proxy suites and decision trees. In: IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)
37.
Zurück zum Zitat Wang Y et al (2019) Predicting new workload or CPU performance by analyzing public datasets. In: ACM Transactions on Architecture and Code Optimization Wang Y et al (2019) Predicting new workload or CPU performance by analyzing public datasets. In: ACM Transactions on Architecture and Code Optimization
38.
Zurück zum Zitat Malakar P et al (2018) Benchmarking machine learning methods for performance modeling of scientific applications. In: IEEE/ACM Conference on Performance Modeling, Benchmarking and Simulation of High Performance Computer Systems Malakar P et al (2018) Benchmarking machine learning methods for performance modeling of scientific applications. In: IEEE/ACM Conference on Performance Modeling, Benchmarking and Simulation of High Performance Computer Systems
39.
Zurück zum Zitat Li D et al (2018) Processor design space exploration via statistical sampling and semi-supervised ensemble learning. IEEE Access 6:25495CrossRef Li D et al (2018) Processor design space exploration via statistical sampling and semi-supervised ensemble learning. IEEE Access 6:25495CrossRef
40.
Zurück zum Zitat Hall M et al (2009) The WEKA data mining software: an update. SIGKDD Explorations 11(1):10CrossRef Hall M et al (2009) The WEKA data mining software: an update. SIGKDD Explorations 11(1):10CrossRef
41.
Zurück zum Zitat Hoste K, Eeckhout L (2007) Microarchitecture-Independent Workload Characterization. IEEE Micro 27(3):63CrossRef Hoste K, Eeckhout L (2007) Microarchitecture-Independent Workload Characterization. IEEE Micro 27(3):63CrossRef
42.
Zurück zum Zitat Reddi VJ et al (2004) PIN: a binary instrumentation tool for computer architecture research and education. In: 31st International Symposium on Computer Architecture, Germany Reddi VJ et al (2004) PIN: a binary instrumentation tool for computer architecture research and education. In: 31st International Symposium on Computer Architecture, Germany
43.
Zurück zum Zitat Hyvärinen A, Oja E (2000) Independent Component Analysis: Algorithms and Applications. Neural Networks 13(4–5):411CrossRef Hyvärinen A, Oja E (2000) Independent Component Analysis: Algorithms and Applications. Neural Networks 13(4–5):411CrossRef
44.
Zurück zum Zitat Topchy A, Jain AK, Punch W (2005) Clustering Ensembles: Models of Consensus and Weak Partitions. IEEE Transactions on Pattern Analysis and Machine Intelligence 27(12):1866CrossRef Topchy A, Jain AK, Punch W (2005) Clustering Ensembles: Models of Consensus and Weak Partitions. IEEE Transactions on Pattern Analysis and Machine Intelligence 27(12):1866CrossRef
45.
Zurück zum Zitat Yi JJ, Lilja DJ, Hawkins DM (2005) Improving computer architecture simulation methodology by adding statistical rigor. IEEE Transactions on Computers 54(11):1360CrossRef Yi JJ, Lilja DJ, Hawkins DM (2005) Improving computer architecture simulation methodology by adding statistical rigor. IEEE Transactions on Computers 54(11):1360CrossRef
46.
Zurück zum Zitat Kim J, Seo BS (2013) How to calculate sample size and why. Clin Orthop Surg 5(3):235CrossRef Kim J, Seo BS (2013) How to calculate sample size and why. Clin Orthop Surg 5(3):235CrossRef
47.
Zurück zum Zitat McKay MD, Beckman RJ, Conover WJ (1979) A comparison of three methods for selecting values of input variables in the analysis of output from a computer code. Technometrics 21(2):55MathSciNetMATH McKay MD, Beckman RJ, Conover WJ (1979) A comparison of three methods for selecting values of input variables in the analysis of output from a computer code. Technometrics 21(2):55MathSciNetMATH
48.
Zurück zum Zitat Johnston J (1984) Econometric Methods, 3rd edn. McGraw-Hill, New York Johnston J (1984) Econometric Methods, 3rd edn. McGraw-Hill, New York
49.
Zurück zum Zitat Woo SC et al (1995) The SPLASH-2 programs: characterization and methodological considerations. In: The 22nd Annual International Symposium on Computer Architecture Woo SC et al (1995) The SPLASH-2 programs: characterization and methodological considerations. In: The 22nd Annual International Symposium on Computer Architecture
50.
Zurück zum Zitat Bienia C et al (2008) The PARSEC Benchmark suite: characterization and architectural implications. In: The 17th International Conference on Parallel Architectures and Compilation Techniques Bienia C et al (2008) The PARSEC Benchmark suite: characterization and architectural implications. In: The 17th International Conference on Parallel Architectures and Compilation Techniques
51.
Zurück zum Zitat Breughe M et al (2011) How sensitive is processor customization to the workload’s input datasets?. In: The IEEE 9th Symposium on Application Specific Processors Breughe M et al (2011) How sensitive is processor customization to the workload’s input datasets?. In: The IEEE 9th Symposium on Application Specific Processors
52.
Zurück zum Zitat Ubal R et al (2012) Multi2Sim: a simulation framework for CPU–GPU computing. In: The 21st International Conference on Parallel Architectures and Compilation Techniques (PACT) Ubal R et al (2012) Multi2Sim: a simulation framework for CPU–GPU computing. In: The 21st International Conference on Parallel Architectures and Compilation Techniques (PACT)
53.
Zurück zum Zitat Li S, et al (2009) McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. In: The 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO) Li S, et al (2009) McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. In: The 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
Metadaten
Titel
Toward a general framework for jointly processor-workload empirical modeling
verfasst von
Hamed Sheidaeian
Omid Fatemi
Publikationsdatum
05.11.2020
Verlag
Springer US
Erschienen in
The Journal of Supercomputing / Ausgabe 6/2021
Print ISSN: 0920-8542
Elektronische ISSN: 1573-0484
DOI
https://doi.org/10.1007/s11227-020-03475-9

Weitere Artikel der Ausgabe 6/2021

The Journal of Supercomputing 6/2021 Zur Ausgabe