Skip to main content

2019 | Buch

Ultra Low Power ECG Processing System for IoT Devices

verfasst von: Temesghen Tekeste Habte, Hani Saleh, Baker Mohammad, Mohammed Ismail

Verlag: Springer International Publishing

Buchreihe : Analog Circuits and Signal Processing

insite
SUCHEN

Über dieses Buch

​This book describes an ECG processing architecture that guides biomedical SoC developers, from theory to implementation and testing. The authors provide complete coverage of the digital circuit implementation of an ultra-low power biomedical SoC, comprised of a detailed description of an ECG processor implemented and fabricated on chip. Coverage also includes the challenges and tradeoffs of designing ECG processors.

Describes digital circuit architecture for implementing ECG processing algorithms on chip;

Includes coverage of signal processing techniques for ECG processing;

Features ultra-low power circuit design techniques;

Enables design of ECG processing architectures and their respective on-chip implementation.

Inhaltsverzeichnis

Frontmatter
Chapter 1. Introduction to Ultra-Low Power ECG Processor
Abstract
This chapter introduces the book. It gives the main highlights about motivation, objectives, and challenges for ultra-low power ECG processors.
Temesghen Tekeste Habte, Hani Saleh, Baker Mohammad, Mohammed Ismail
Chapter 2. IoT for Healthcare
Abstract
The Internet of Things (IoT) has enabled remote sensing and communication with various devices. In the area of healthcare, IoT has far benefits in monitoring and alerting patients. IoT healthcare is applicable in many medical instruments such as ECG monitors, glucose level sensing, and oxygen concentration detection. Advanced technological platform has facilitated its implementation though it has its own challenges.
Temesghen Tekeste Habte, Hani Saleh, Baker Mohammad, Mohammed Ismail
Chapter 3. Background on ECG Processing
Abstract
In this chapter the basics about ECG processing are presented. First, ECG is introduced as a representation of the cardiac activity of the heart. ECG features and extraction techniques along with ECG classifiers are reviewed. Ultra-low power biomedical circuit approaches are also discussed in this chapter.
Temesghen Tekeste Habte, Hani Saleh, Baker Mohammad, Mohammed Ismail
Chapter 4. Combined CLT and DWT-Based ECG Feature Extractor
Abstract
This chapter presents an ultra-low power ECG feature extraction engine. ECG signal represents the cardiac cycle and contains key features, such as QRS complex, P-wave, and T-wave, that provide important diagnostic information about cardiovascular diseases. The ECG feature extraction is based on combined techniques of CLT and DWT. A pipelined architecture for implementing CLT is proposed. The system was fabricated using GF-65 nm technology and consumed 642 nW only when operating at a frequency of 7.5 kHz from a supply voltage of 0.6 V. Ultra-low power consumption of the SoC made it suitable for self-powered wearable devices.
Temesghen Tekeste Habte, Hani Saleh, Baker Mohammad, Mohammed Ismail
Chapter 5. ACLT-Based QRS Detection and ECG Compression Architecture
Abstract
In this chapter, a QRS detection architecture based on absolute value curve length transform is presented. Ultra-low power and optimized architectures are crucial for IoT devices. Moreover, optimized ECG processing architectures with an adequate level of accuracy is a necessity for IoT medical wearable devices. This chapter presents a real-time QRS detector and ECG compression architecture for energy constrained IoT healthcare wearable devices. The implementation of the proposed architectures requires adders, shifters, and comparators only, and removes the need for any multipliers. QRS detections are accomplished by using adaptive thresholds in the ACLT-transformed ECG-signal. The proposed QRS detector achieved a sensitivity of 99.37% and a predictivity of 99.38% when validated using databases acquired from Physionet. Furthermore, a lossless compression technique was incorporated into the proposed architecture that uses the ECG signal first derivative and variable-bit-length encoding. An average compression ratio of 2.05 was achieved when evaluated using the MIT-BIH database. The proposed QRS architecture was implemented using a 65 nm GF low-power process, it consumed an ultra-low power of 6.5 nW when operated at a supply of 1 V and at a frequency of 250 Hz.
Temesghen Tekeste Habte, Hani Saleh, Baker Mohammad, Mohammed Ismail
Chapter 6. Ultra-Low Power CAN Detection and VA Prediction
Abstract
In this chapter, an ECG processor on-chip for full ECG feature extraction and cardiac autonomic neuropathy (CAN) is presented. Absolute value curve length transform (ACLT) is performed for QRS detection, whereas full feature extraction (detecting QRSon, QRSoff, P-, and T-waves) is achieved by low-pass differentiation. Proposed QRS detector attains a sensitivity of 99.37% and predictivity of 99.38%. Extracted RR interval along with QT interval enables CAN severity detector. CAN is cardiac arrhythmia usually seen in diabetic patients and have prevalent effect in sudden cardiac death. In this chapter, the first hardware real-time implementation of the CAN severity detector is proposed. Detection is based on RR variability and QT variability analysis. RR variability metrics are based on mean RR interval and RMSSD of RR interval. The proposed architecture is implemented in 65 nm technology, and it consumes only 75 nW at 0.6 V, when operating at 250 Hz. Ultra-low power dissipation of the system enables it to be integrated into wearable healthcare devices.
This chapter also presents an architecture for VA prediction. The architecture was optimized for ultra-low power operation compared to prior state-of-the-art design.
Temesghen Tekeste Habte, Hani Saleh, Baker Mohammad, Mohammed Ismail
Backmatter
Metadaten
Titel
Ultra Low Power ECG Processing System for IoT Devices
verfasst von
Temesghen Tekeste Habte
Hani Saleh
Baker Mohammad
Mohammed Ismail
Copyright-Jahr
2019
Electronic ISBN
978-3-319-97016-5
Print ISBN
978-3-319-97015-8
DOI
https://doi.org/10.1007/978-3-319-97016-5

Neuer Inhalt