skip to main content
article

DRAMsim: a memory system simulator

Published:01 November 2005Publication History
Skip Abstract Section

Abstract

As memory accesses become slower with respect to the processor and consume more power with increasing memory size, the focus of memory performance and power consumption has become increasingly important. With the trend to develop multi-threaded, multi-core processors, the demands on the memory system will continue to scale. However, determining the optimal memory system configuration is non-trivial. The memory system performance is sensitive to a large number of parameters. Each of these parameters take on a number of values and interact in fashions that make overall trends difficult to discern. A comparison of the memory system architectures becomes even harder when we add the dimensions of power consumption and manufacturing cost. Unfortunately, there is a lack of tools in the public-domain that support such studies. Therefore, we introduce DRAMsim, a detailed and highly-configurable C-based memory system simulator to fill this gap. DRAMsim implements detailed timing models for a variety of existing memories, including SDRAM, DDR, DDR2, DRDRAM and FB-DIMM, with the capability to easily vary their parameters. It also models the power consumption of SDRAM and its derivatives. It can be used as a standalone simulator or as part of a more comprehensive system-level model. We have successfully integrated DRAMsim into a variety of simulators including MASE [15], Sim-alpha [14], BOCHS[2] and GEMS[13]. The simulator can be downloaded from www.ece.umd.edu/dramsim.

References

  1. S. Gurumurthi, A. Sivasubramaniam, M. J. Irwin, N. Vijaykrishnan, M. Kandemir, T. Li, L. K. John, "Using Complete Machine Simulation for Software Power Estimation: The SoftWatt Approach," In Proceedings of the International Symposium on High Performance Computer Architecture (HPCA-8), Cambridge, MA, pages 141--150, February, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. The Bochs IA-32 Emulator Project. http://bochs.source-forge.netGoogle ScholarGoogle Scholar
  3. S. Wilton and N. Jouppi, "An Enhanced Access and Cycle Time Model for On-chip Caches," In WRL Research Report 93/5, DEC Western Research Laboratory, 1994.Google ScholarGoogle Scholar
  4. G. Ganger, B. Worthington, and Y. Patt, "The DiskSim Simulation Environment Version 2,0 Reference Manual," http://www.ece.cmu.edu/ ganger/disksim/.Google ScholarGoogle Scholar
  5. S. Gurumurthi, A. Sivasubramaniam, M. Kandemir, H. Franke, "DRPM: Dynamic Speed Control for Power Management in Server Class Disks," In the Proceedings of the International Symposium on Computer Architecture (ISCA), pages 169--179, June, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. David T. Wang, "Modern DRAM Memory systems: Performance Analysis and Scheduling Algorithm," Ph.D. Dissertation, Electrical and Computer Engineering, University of Maryland at College Park, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Jeff Janzen, The Micron System-Power Calculator. http://www.micron.com/products/dram/syscalc.htmlGoogle ScholarGoogle Scholar
  8. D. Brooks, V. Tiwari, and M. Martonosi, "Wattch: A framework for architectural-level power analysis and optimizations," In Proceedings of the 27th Annual International Symposium on Computer Architecture, June 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Vinodh Cuppu and Bruce Jacob, "Concurrency, latency, or system overhead: Which has the largest impact on uniprocessor DRAM-system performance?," In Proc. 28th International Symposium on Computer Architecture (ISCA 2001), pp. 62--71, Goteborg Sweden, June 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. D. Lidsky and J. Rabaey, "Low-power design of memory intensive functions," Proceedings of the IEEE Symposium on Low Power Electronics (Sept.), IEEE Computer Society Press, Los Alamitos, CA, 16--17, 1994.Google ScholarGoogle Scholar
  11. F. Catthoor, S. Wuytack, E. De Greef, F. Balasa, L. Nachtergaele, and A. Vandecappelle, "Custom Memory Management Methodology: Exploration of Memory Organization for Embedded Multimedia System Design," Kluwer Academic, Dordrecht, Netherlands, 1998a. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Wm. A. Wulf, Sally A. McKee, "Hitting the memory wall: implications of the obvious", SACM SIGARCH Computer Architecture News, Volume 23, Issue 1 (March 1995), Pages:20--24. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Milo M. K. Martin, Daniel J. Sorin, Bradford M. Beckmann, Michael R. Marty, Min Xu, Alaa R. Alameldeen, Kevin E. Moore, Mark D. Hill, and David A. Wood, "Multifacet's General Execution-driven Multiprocessor Simulator (GEMS) Toolset," Computer Architecture News (CAN), TBA 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. R. Desikan, D. C. Burger, S. W. Keckler, and Todd Austin. "Sim-alpha: a Validated, Execution-Driven Alpha 21264 Simulator.", The University of Texas at Austin, Department of Computer Sciences. Technical Report TR-01-23.Google ScholarGoogle Scholar
  15. Eric Larson, Saugata Chatterjee, and Todd Austin, "MASE: A Novel Infrastructure for Detailed Micro architectural Modeling," In the 2001 International Symposium on Performance Analysis of Systems and Software, Nov. 2001.Google ScholarGoogle Scholar
  16. David Wang, University of Maryland Memory System SimulatorGoogle ScholarGoogle Scholar

Index Terms

  1. DRAMsim: a memory system simulator

              Recommendations

              Comments

              Login options

              Check if you have access through your login credentials or your institution to get full access on this article.

              Sign in

              Full Access

              • Published in

                cover image ACM SIGARCH Computer Architecture News
                ACM SIGARCH Computer Architecture News  Volume 33, Issue 4
                Special issue: dasCMP'05
                November 2005
                130 pages
                ISSN:0163-5964
                DOI:10.1145/1105734
                Issue’s Table of Contents

                Copyright © 2005 Authors

                Publisher

                Association for Computing Machinery

                New York, NY, United States

                Publication History

                • Published: 1 November 2005

                Check for updates

                Qualifiers

                • article

              PDF Format

              View or Download as a PDF file.

              PDF

              eReader

              View online with eReader.

              eReader