skip to main content
10.1145/1120725.1120930acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
Article

Multilevel full-chip gridless routing considering optical proximity correction

Published:18 January 2005Publication History

ABSTRACT

To handle modern routing with nanometer effects, we need to consider designs of variable wire widths and spacings, for which gridless routers are desirable due to their great flexibility. The gridless routing is much more difficult than the grid-based one because the solution space of gridless routing is significantly larger than that of grid-based one. In this paper, we present the first multilevel, full-chip gridless detailed router. The router integrates global routing, detailed routing, and congestion estimation together at each level of the multilevel routing. It can handle non-uniform wire widths and consider routability and optical proximity correction (OPC). Experimental results show that our approach obtains significantly better routing solutions than previous works. For example, for a set of 11 commonly used benchmark circuits, our approach achieves 100% routing completion for all circuits while the famous state-of-the-art three-level routing and multilevel routing (multilevel global routing + flat detailed routing) cannot complete routing for any of the circuits. Besides, experimental results show that our multilevel gridless router can handle non-uniform wire widths efficiently and effectively (still maintain 100% routing completion for all circuits). In particular, our OPC-aware multilevel gridless router archives an average reduction of 11.3% pattern features and still maintains 100% routability for the 11 benchmark circuits.

References

  1. C. Albrecht, "Global routing by new approximation algorithms for multicommodity flow," IEEE Trans. CAD, vol. 20, no. 5, pp. 622--632, May 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. C. J. Alpert, J.-H. Huang, and A. B. Kahng, "Multilevel circuit partitioning," IEEE Trans. CAD, vol. 17, no. 8, pp. 655--667, August 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. T. Chan, J. Cong, T. Kong, and J. Shinnerl, "Multilevel optimization for large-scale circuit placement," Proc. ICCAD, pp. 171--176, Nov. 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Y.-W. Chang, K. Zhu, and D.-F. Wong, "Timing-driven routing for symmetrical-array-based FPGAs," ACM Trans. Design Automation of Electronic Systems, vol. 5, no. 3, pp. 433--450, July 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Y.-W. Chang and S.-P. Lin, "MR: A new framework for multilevel full-chip routing," IEEE Trans. CAD, vol. 23, no. 5, pp. 793--800, May 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. N. Cobb and A. Zakhor, "Large Area Phase-Shift mask Design," SPIE, 2197:348--359, 1994.Google ScholarGoogle Scholar
  7. N. Cobb, A. Zakhor, and Eugene Miloslavsky, "Mathematical and CAD Framework for Proximity Correction," SPIE, 2726:208--222, 1996.Google ScholarGoogle Scholar
  8. J. Cong, J. Fang, and K. Khoo, "DUNE: A multi-layer gridless routing system with wire planning," Proc. ISPD, pp. 12--18, April 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. J. Cong, S. Lim, and C. Wu, "Performance driven multilevel and multiway partitioning with retiming," Proc. DAC, pp. 274--279, June 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. J. Cong, J. Fang, and Y. Zhang, "Multilevel approach to full-chip gridless routing," Proc. ICCAD, pp. 396--403, Nov. 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. J. Cong, M. Xie, and Y. Zhang, "An enhanced multilevel routing system," Proc. ICCAD, pp. 51--58, Nov. 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. C.-C. Fu, T.-S. yang, and Douglas R. Stone, "Enhancement of lithography patterns by using serif features," IEEE Trans. Electron Devices, vol. 38, no. 12, pp. 2599--2603, Dec. 1991.Google ScholarGoogle ScholarCross RefCross Ref
  13. K. Harazaki, Y. hasegawa, Y. Shichijo, H. Tabuchi, and K. Fujii, "High Accurate Optical Proximity Correction under the Influences of Lens Aberration in 0.15 m Logic Process," International Microprocesses and Nanotechnology Conference, pp. 14--15, 2000.Google ScholarGoogle Scholar
  14. M. Hayashi and S. Tsukiyama, "A hybrid hierarchical global router for multi-layer VLSIs," IEICE Trans. Fundamentals, vol. E78-A, no. 3, pp. 337--344, 1995.Google ScholarGoogle Scholar
  15. J. Heisterman and T. Lengauer, "The efficient solutions of integer programs for hierarchical global routing," IEEE Trans. CAD, vol. 10, no. 6, pp. 748--753, June 1991.Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. D. Hightower, "A solution to line routing problems on the continuous plane," Proc. Design Automation Workshop, pp. 1--24, 1969. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. T.-Y. Ho, Y.-W. Chang, S.-J. Chen, and D. T. Lee, "A Fast Crosstalk- and Performance-Driven Multilevel Routing System," Proc. ICCAD, pp.382--387, Nov. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. T.-Y. Ho, Y.-W. Chang, and S.-J. Chen, "Multilevel Routing with Antenna Avoidance," Proc. ISPD, pp. 34--40, April 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. L.-D. Huang and D.-F. Wong, "Optical Proximity Correction (OPC)-Friendly Maze Routing," Proc. DAC, pp. 186--191, June 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. G. Karypis, R. Aggarwal, V. Kumar, and S. shekhar, "Multilevel hypergraph partitioning: Application in VLSI domain," IEEE Trans VLSI Systems, vol. 7, pp. 69--79, March 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. R. Kastner, E. Bozorgzadeh and M. Sarrafzadeh, "Predictable routing," Proc. ICCAD, pp. 110--114, Nov. 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Lee, "An algorithm for path connection and its application," IRE Trans. Electronic Computer, EC-10, 1961.Google ScholarGoogle ScholarCross RefCross Ref
  23. H.-C. Lee, Y.-W. Chang, J.-M. Hsu, and H. Yang, "Multilevel floorplanning/placement for large-scale modules using B*-trees," Proc. DAC, pp. 812--817, June 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Marc D. Levenson, N. S. Viswanathan, and Robert A. Simpson, "Improving Resolution in Photolithography with a Phase-Shifting Mask," IEEE Trans. Electron Devices, vol. 29, no. 12, pp. 1828--1836, Dec. 1982.Google ScholarGoogle ScholarCross RefCross Ref
  25. Y. L. Lin, Y. C. Hsu, and F. S. Tsai, "Hybrid routing," IEEE Trans. CAD, vol. 9, no. 2, pp. 151--157, Feb, 1990.Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. S. R. Lin and Y. W. Chang, "A novel framework for multilevel routing considering routability and performance," Proc. ICCAD, pp. 44--50, Nov. 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. M. Marek-Sadowska, "Global Router for gate array," Proc. ICCD, pp. 332--337, Oct. 1984.Google ScholarGoogle Scholar
  28. M. Marek-Sadowska, "Router planner for custom chip design," Proc. ICCAD, Nov. 1986.Google ScholarGoogle Scholar
  29. G. Meixner and U. Lauther, "A new global router based on a flow model and linear assignment," Proc. ICCAD, pp. 44--47, Nov. 1990.Google ScholarGoogle Scholar
  30. Y.-C. Pati, Y.-T. Wnag, J.-W Liang, and Thomas Kailiath, "Phase-Shift Masks: Automated Design and Mask Requirements," SPIE, 2197:314--327, 1994.Google ScholarGoogle Scholar
  31. J. Soukup, "Fast maze router," Proc. DAC, pp. 100--102, June 1978. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. D. Wang and E. Kuh, "A new timing-driven multilayer MCM/IC routing algorithm," Proc. Multi-chip Module Conference, pp. 89--94, Feb. 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. K. Yamamoto, S. Kobayashi, T. Uno, T. Kotani, S. Tanaka, S. Inoue, S. Watanabe, and H. Higurashi, "Hierarchical Optical Proximity Correction on contact Hole Layers," International Microprocesses and Nanotechnology Conference, pp. 40--41, 2000.Google ScholarGoogle Scholar

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Conferences
    ASP-DAC '05: Proceedings of the 2005 Asia and South Pacific Design Automation Conference
    January 2005
    1495 pages
    ISBN:0780387376
    DOI:10.1145/1120725
    • General Chair:
    • Ting-Ao Tang

    Copyright © 2005 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 18 January 2005

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • Article

    Acceptance Rates

    Overall Acceptance Rate466of1,454submissions,32%

    Upcoming Conference

    ASPDAC '25

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader