skip to main content
10.1145/1283780.1283826acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article

Thermal-aware task scheduling at the system software level

Authors Info & Claims
Published:27 August 2007Publication History

ABSTRACT

Power-related issues have become important considerations in current generation microprocessor design. One of these issues is that of elevated on-chip temperatures. This has an adverse effect on cooling cost and, if not addressed suitably, on chip reliability. In this paper we investigate the general trade-offs between temporal and spatial hot spot mitigation schemes and thermal time constants, workload variations and microprocessor power distributions. By leveraging spatial and temporal heat slacks, our schemes enable lowering of on-chip unit temperatures by changing the workload in a timely manner with Operating System(OS) and existing hardware support.

References

  1. International Technology Roadmap for Semiconductors, 2005.Google ScholarGoogle Scholar
  2. D. Sylvester and K. Keutzer, "A global wiring paradigm for deep submicron design," in IEEE Trans. CAD, vol. 19, pp. 242--252, Feb. 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. K. Banerjee and A. Mehrotra, "A power-optimal repeater insertion methodology for global interconnects in nanometer designs," in IEEE Trans. Electronic Devices, vol. 49, pp. 2001--2007, Nov. 2002.Google ScholarGoogle ScholarCross RefCross Ref
  4. P. Kapur, G. Chandra, C. Saraswat, "Power estimation in global interconnects and its reduction using a novel repeater optimization methodology," in Proc. DAC, pp. 461--466, Jun. 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. X. Liu, Y. Peng, M. Papaefthymiou, "Practical repeater insertion for low power: what repeater library do we need?," in Proc. DAC, pp. 30--35, Jun. 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. V. Wason and K. Banerjee, "A probabilistic framework for power-optimal repeater insertion in global interconnects under parameter variation," in Proc. ISLPED, pp. 131--136, Aug. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. K. Banerjee, S. Lin, A. Keshavarzi, S. Narendra, and V. De, "A self-consistent junction temperature estimation methodology for nanometer scale ICs with implications for performance and thermal management," in Proc. IEDM, pp. 887--890, Dec. 2003.Google ScholarGoogle ScholarCross RefCross Ref
  8. L. He, W. Liao, and M. Stan, "System level leakage reduction considering the interdependence of temperature and leakage," in Proc. DAC, pp. 12--17, Jun. 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. W. Huang, E. Humenay, K. Skadron, and M. Stan, "The need for a full-chip and package thermal model for thermally optimized IC designs," in Proc. ISLPED, pp. 245--250, Aug. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. M. Mui, K. Banerjee, and A. Mehrotra, "Supply and power optimization in leakage-dominant technologies," in IEEE Trans. CAD, vol. 24, pp. 1362--1371, Sep. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. H. Bakoglu, Circuits, Interconnections, and Packaging for VLSI. MA: Addision-Wesley, 1990.Google ScholarGoogle Scholar
  12. T. Sakurai and A. Newton, "Alpha-power law MOSFET model and its application to CMOS inverter delay and other formulas," in IEEE J. Solid-State Circuits, vol. 25, pp. 584--593, Apr. 1990.Google ScholarGoogle ScholarCross RefCross Ref
  13. J. Daga, E. Ottaviano, D. Auvergne, "Temperature effect on delay for low voltage applications," in Proc. DATE, pp. 680--685, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. C. Park et al., "Reversal of temperature dependence of integrated circuits operating at very low voltages," in Proc. IEDM, pp. 71--74, 1995.Google ScholarGoogle Scholar
  15. Y. Cao, T. Sato, D. Sylvester, M. Orshansky, and C. Hu, "New paradigm of predictive MOSFET and interconnect modeling for early circuit design," in Proc. CICC, pp. 201--204, 2000. (http://www.eas.asu.edu/~ptm)Google ScholarGoogle Scholar
  16. K. Roy, S. Mukhopadhyay, H. Mahmoodi-Meimand, "Leakage current mechanisms and leakage reduction techniques in deepsubmicrometer CMOS circuits," in Proc. IEEE, vol. 91, pp. 305--327, Feb. 2003.Google ScholarGoogle ScholarCross RefCross Ref
  17. Y. Taur and T. Ning, Fundamentals of modern VLSI devices, Cambridge University Press, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. H. Su, F. Liu, A. Devgan, E. Acar, S. Nassif, "Full chip leakage estimation considering power supply and temperature variations," in Proc. ISLPED, pp. 78--83, Aug. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. A. Chapman, Fundamentals of heat transfer. Macmillan Press, 1987.Google ScholarGoogle Scholar

Index Terms

  1. Thermal-aware task scheduling at the system software level

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISLPED '07: Proceedings of the 2007 international symposium on Low power electronics and design
      August 2007
      432 pages
      ISBN:9781595937094
      DOI:10.1145/1283780

      Copyright © 2007 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 27 August 2007

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      Overall Acceptance Rate398of1,159submissions,34%

      Upcoming Conference

      ISLPED '24

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader