skip to main content
10.1145/1514932.1514974acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

A metal-only-ECO solver for input-slew and output-loading violations

Published:29 March 2009Publication History

ABSTRACT

To shorten the time-to-market and reduce the expensive cost of photomasks in advance process technologies, metal-only ECO has become a practical and attractive solution to handle incremental design changes. Due to limited spare cells in metal-only ECO, the new added netlist may often violate the input-slew and outputloading constraints and, in turn, delay or even fail the timing closure. This paper proposes a framework, named MOESS, to solve the input-slew and output-loading violations by connecting spare cells onto the violated nets as buffers. MOESS provides two buffer insertion schemes performed sequentially to minimize the number of inserted buffers and then to solve timing violations if there is any. This framework has been silicon-validated through industrial designs with more than 1-million instances. The experimental results demonstrate that MOESS can solve more violations with less inserted buffers and less CPU runtime compared to an EDA vendor's solution. The whole framework is built based on a commercial APR tool and can be ported to any other APR tool offering open access to its design database.

References

  1. Cadence design system. http://www.cadence.com/.Google ScholarGoogle Scholar
  2. International technology roadmap for semiconductors. http://www.itrs.net/.Google ScholarGoogle Scholar
  3. Magma design automation. http://www.magma-da.com/.Google ScholarGoogle Scholar
  4. Synopsys inc. http://www.synopsys.com/.Google ScholarGoogle Scholar
  5. C. Alpert, A. Kahng, B. Liu, I. Mandoiu, and A. Zelikovshy. Minimum-buffered routing of non-critical nets for slew rate and reliability control. In ACM/IEEE Int'l Conference on Computer Aided Design, pages 408--415, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. A. Balasinski. Optimization of sub-100-nm designs for mask cost reduction. J. Microlithography, Microfabrication, Microsyst., 3:322--331, Apr. 2004.Google ScholarGoogle Scholar
  7. Y. P. Chen, J. W. Fang, and Y. W. Chang. Eco timing optimization using spare cells and technology remapping. In ACM/IEEE Int'l Conference on Computer Aided Design, pages 530--535, Nov. 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. J. Cong, J. Fang, and K. Khoo. An implicit connection graph maze routing algorithm for eco routing. In ACM/IEEE Int'l Conference on Computer Aided Design, pages 163--167, Nov. 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. S. Dutt and H. Arslan. Efficient timing-driven incremental routing for vlsi ciruits using dfs and localized slack-satisfaction computations, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. S. Hu, C. J. Alpert, J. Hu, S. Karandikar, Z. Li, W. Shi, and C. Sze. Fast algorithm for slew-constrained minimum cost buffering. In Design Automation Conference, pages 308--313, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Y. M. Kuo, Y. T. Chang, S. C. Chang, and M. M. Sadowska. Engineering change using spare cells with constant insertion. In ACM/IEEE Int'l Conference on Computer Aided Design, pages 544--547, Nov. 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Y. L. Li, J. Y. Li, and W. B. Chen. An efficient tile-based eco router using routing graph reduction and enhanced global routing flow, Feb. 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. P. Osler. Placement driven synthesis case studies on two sets of two chips: hierarchical and flat. In ACM Int'l Symposium on Physical Design, pages 190--197, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. S. M. Sait and H. Youssef, 1999.Google ScholarGoogle Scholar
  15. P. Saxena, N. Menezes, and P. C. an D. A. Kirkpatrick. Repeater scaling and its impact on cad, 2004.Google ScholarGoogle Scholar
  16. W. shi and Z. Li. Fast algorithm for optimal buffer insertion, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. A metal-only-ECO solver for input-slew and output-loading violations

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ISPD '09: Proceedings of the 2009 international symposium on Physical design
        March 2009
        208 pages
        ISBN:9781605584492
        DOI:10.1145/1514932

        Copyright © 2009 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 29 March 2009

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate62of172submissions,36%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader