skip to main content
research-article

Allocating power ground vias in 3D ICs for simultaneous power and thermal integrity

Authors Info & Claims
Published:04 June 2009Publication History
Skip Abstract Section

Abstract

The existing work on via allocation in 3D ICs ignores power/ground vias' ability to simultaneously reduce voltage bounce and remove heat. This article develops the first in-depth study on the allocation of power/ground vias in 3D ICs with simultaneous consideration of power and thermal integrity. By identifying principal ports and parameters, effective electrical and thermal macromodels are employed to provide dynamic power and thermal integrity as well as sensitivity with respect to via density. With the use of sensitivity, an efficient via allocation simultaneously driven by power and thermal integrity is developed. Experiments show that, compared to sequential power and thermal optimization using static integrity, sequential optimization using the dynamic integrity reduces nonsignal vias by up to 18%, and simultaneous optimization using dynamic integrity further reduces nonsignal vias by up to 45.5%.

References

  1. Alpert, C., Kahng, A., and Yao, S. 1999. Spectral partitioning with multiple eigenvectors. Discrete Appl. Math. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Antoulas, A., Sorensen, D., and Gugercin, S. 2001. A survey of model reduction methods for large scale systems. Contemporary Math. 193--219.Google ScholarGoogle Scholar
  3. Astrid, P., Weiland, S., and Willcox, K. 2007. Missing point estimation in models described by proper orthogonal decomposition. IEEE Trans. Autom. Control.Google ScholarGoogle Scholar
  4. Banerjee, K., Souri, S. J., Kapur, P., and Saraswat, K. C. 2001. 3D ICs: A novel chip design for improving deep submicron interconnect performance and systems-on-chip integration. Proc. IEEE, 602--633.Google ScholarGoogle Scholar
  5. Box, G., Jenkins, G., and Reinsel, G. 1994. Time Series Analysis: Forecasting and Control. Prentice Hall, New York. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Chiang, T.-Y., Banerjee, K., and Saraswat, K. C. ICCAD. 2001. Compact modeling and spice-based simulation for electrothermal analysis of multilevel ulsi interconnects. In Proceedings of the International Conference on Computer Aided Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Cong, J., Wei, J., and Zhang, Y. 2004. A thermal-driven floorplanning algorithm for 3d ics. In Proceedings of the International Conference on Computer Aided Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Cong, J. and Zhang., Y. 2005. Thermal via planning for 3D ICs. In Proceedings of the International Conference on Computer Aided Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Das, S. 2004. Design automation and analysis of three dimentional integrated circuits Ph. D thesis, Massachusetts Institute of Technology.Google ScholarGoogle Scholar
  10. Davis, W., Wilson, J., Mick, S., Xu, J., Hua, H., et al. 2005. Demystifying 3D ICs: the pros and cons of going vertical. IEEE Design and Test of Computers, 498--510. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Ding, C. and Zha, H. 2008. Spectral Clustering, Ordering and Ranking -Statistical Learning with Matrix Factorizations. Springer. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Grimme, E. J. 1997. Krylov projection methods for model reduction Ph. D thesis, University of Illinois at Urbana-Champaign.Google ScholarGoogle Scholar
  13. Feldmann, P. and Liu, F. 2004. Sparse and efficient reduced order modeling of linear sub-circuits with large number of terminals. In Proceedings of the International Conference on Computer Aided Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Goplen, B. and Sapatnekar, S. 2003. Efficient thermal placement of standard cells in 3D ICs using a force directed approach. In Proceedings of the International Conference on Computer Aided Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Goplen, B. and Sapatnekar, S. 2005. Thermal via placement in 3D ICs. In Proceedings of the International Symposium on Physical Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Hong, Y., Lee, H., Choi, J.-H., Yoo, M.-H., and Kong, J.-T. 2005. Analysis for complex power distribution networks considering densely populated vias. In Proceedings of the International Symposium on Quality Electronics and Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Kannan, R., Vempala, S., and Vetta, A. 2004. On clusterings: Good, bad and spectral. J. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Knickerbocker, J. U., Andry, P. S., Buchwaler, L. P., Deutsch, A., Horton, R. R., et al. 2005. Development of next-generation system-on-package technology based on silicon carriers with fine-pitch chip interconnection. IBM Res. J. (Power and Packaging), 725--754. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Li, H., Qi, Z., Tan, S., Wu, L., Cai, Y., and Hong, X. 2005a. Partitioning-Based approach to fast on-chip decap budgeting and minimization. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Li, P., Pileggi, L., Asheghi, M., and Chandra, R. 2004. Efficient full-chip thermal modeling and analysis. In Proceedings of the International Conference on Computer Aided Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Li, P. and Shi, W. 2006. Model order reduction of linear networks with massive ports via frequency-dependent port packing. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Li, X., Li, P., and Pileggi, L. Li. 2005b. Parameterized interconnect order reduction with explicit-and-implicit multi-parameter moment matching for inter/intra-die variations. In Proceedings of the International Conference on Computer Aided Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Li, Z., Hong, X., Zhou, Q., Yang, H., V. Pitchumani, and Cheng, C. 2006. Integrating dynamic thermal via planning with 3D floorplanning algorithm. In Proceedings of the International Symposium on Physical Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Liao, W., He, L., and Lepak, K. 2005. Temperature and supply voltage aware performance and power modeling at microarchitecture level. IEEE Trans. Comput.-Aided Des. Integrated Circ. Syst. 1042--1053. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Lim, S. 2005. Physical design for 3D system-on-package: Challenges and opportunities. IEEE Des. Test Comput. 532--539. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Liu, P., Tan, S. X.-D., Kong, J., McGaughy, B., and He, L. 2005. Efficient method for terminal reduction of interconnect circuits considering delay variations. In Proceedings of the International Conference on Computer Aided Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Moore, B. 1981. Principal component analysis in linear systems: Controllability, observability, and model reduction. IEEE Trans. Autom. Control, 17--32.Google ScholarGoogle ScholarCross RefCross Ref
  28. Odabasioglu, A., Celik, M., and Pileggi, L. 1998. PRIMA: Passive reduced-order interconnect macromodeling algorithm. IEEE Trans. Comput.-Aided Des. Integrated Circ. Syst., 645--654. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Rathinam, M. and Petzold, L. 2003. A new look at proper orthogonal decomposition. SIAM J. Numer. Anal. 1893--1925. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Ruehli, A. E. 1974. Equivalent circuits models for three dimensional multiconductor systems. IEEE Trans. Microwave Theory Techniq. 216--220.Google ScholarGoogle ScholarCross RefCross Ref
  31. Ryu, C., Chung, D., Lee, J., Lee, K., Oh, J., et. al. 2005. High frequency electrical circuit model of chip-to-chip vertical via interconnection for 3D chip stacking package. In Proceedings of the IEEE Conference on Electrical Performance of Electronic Packaging (EPEP).Google ScholarGoogle Scholar
  32. Sapatnekar, S. 2006. Physical design automation challenges for 3D ICs. In International Conference on IC Design and Technology.Google ScholarGoogle Scholar
  33. Su, H., K. Gala, and Sapatnekar, S. 2003. Analysis and optimization of structured power/ground networks. IEEE Trans. Comput.-Aid. Des. Integrated Circ. Syst. 1533--1544. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Teng, C. C., Cheng, Y. K., Rosenbaum, E., and Kang, S. M. 1997. iTEM: A temperature-dependent electromigration reliability diagnosis tool. IEEE Trans. Comput.-Aided Des. Integrated Circ. Syst. 882--893. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Tiwari, V., Singh, D., Rajgopal, S., Mehta, G., Patel, R., and Baez, F. 1998. Reducing power in high-performance microprocessors. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Wang, T. and Chen, C. 2003. Thermal-ADI: A linear-time chip-level dynamic thermal simulation algorithm based on alternating-direction-implicit (ADI) method. IEEE Trans. Very Large Scale Integration (VLSI) Syst., 691--700. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Yu, H., Chu, C., and He, L. 2007. Off-Chip decoupling capacitor allocation for chip package co-design. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. Yu, H., He, L., and Tan, S. BMAS-. 2005. Block structure preserving model reduction. In IEEE International Workshop on Behavioral Modeling and Simulation (BMAS).Google ScholarGoogle Scholar
  39. Yu, H., Ho, J., and He, L. 2006a. Simultaneous power and thermal integrity driven via stapling in 3D ICs. In Proceedings of the International Conference on Computer Aided Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. Yu, H., Shi, Y., and He, L. 2006b. Fast analysis of structured power grid by triangularization based structure preserving model order reduction. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. Yu, H., Shi, Y., He, L., and Karnik, T. 2006c. Thermal via allocation for 3D ICs considering temporally and spatially variant thermal power. In International Symposium on Low Power Electronics and Design (ISLPED). Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. Zhan, Y. and Sapatnekar, S. S. 2007. High efficiency green function-based thermal simulation algorithms. IEEE Trans. Comput.-Aided Des. Integrated Circ. Syst. Google ScholarGoogle ScholarDigital LibraryDigital Library
  43. Zhao, J., Zhang, J., and Fang, J. 1998. Effects of power/ground via distribution on the power/ground performance of C4/BGA packages. In Proceedings of the Conference on IEEE Electrical Performance of Electronic Packaging (EPEP).Google ScholarGoogle Scholar
  44. Zhao, M., Panda, R., and et. al. 2002a. Hierarchical analysis of power distribution networks. IEEE Trans. Comput.-Aided Des. Integrated Circ. Syst., 159--168. Google ScholarGoogle ScholarDigital LibraryDigital Library
  45. Zhao, S., Roy, K., and Koh, C. 2002b. Decoupling capacitance allocation and its application to power supply noise aware floorplanning. IEEE Trans. Comput.-Aided Des. Integrated Circ. Syst. 81--92. Google ScholarGoogle ScholarDigital LibraryDigital Library
  46. Zheng, H., Krauter, B., and Pileggi, L. 2003. On-Package decoupling optimization with package macromodels. In Proceedings of the IEEE Custom Integrated Circuits Conference.Google ScholarGoogle Scholar

Index Terms

  1. Allocating power ground vias in 3D ICs for simultaneous power and thermal integrity

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 14, Issue 3
      May 2009
      376 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/1529255
      Issue’s Table of Contents

      Copyright © 2009 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 4 June 2009
      • Accepted: 1 February 2009
      • Revised: 1 January 2009
      • Received: 1 May 2008
      Published in todaes Volume 14, Issue 3

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader