skip to main content
10.1145/1629911.1629974acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

MPTLsim: a simulator for X86 multicore processors

Published:26 July 2009Publication History

ABSTRACT

Current microprocessors are effectively a system-on-a-chip, as they incorporate processing cores, interconnections, shared and private caches and DRAM controllers on a single die. Consequently, it is imperative to have fast and accurate simulation tools for such systems; this paper such a tool for simulating all current and announced variants of multicore processors that use the predominant PC (X86, X86-64) instruction set, as well as external DRAM memory and buses. We discuss the major techniques used for speeding up the simulation and improving the overall accuracy, and the simulation of system-level details such as coherent caches, on-chip interconnections, memory bus and DRAM. We also demonstrate a 8-fold speedup against a widely-used popular tool.

References

  1. Bochs IA-32 emulator project and related documentation. http://bochs.sourceforge.net.Google ScholarGoogle Scholar
  2. Multiple presentations on the Intel Nehalem processor line at the Intel developers forum.Google ScholarGoogle Scholar
  3. Austin, T., Larson, E., and Ernst, D. Simplescalar: an infrastructure for computer system modeling. Computer 35, 2 (2002), 59--67. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Bellard, F. QEMU internals. Tech. report at www.lugatgt.org/articles/qemu_internals. 2006.Google ScholarGoogle Scholar
  5. Binkert, N. L., Dreslinski, R. G., Hsu, L. R., Lim, K. T., Saidi, A. G., and Reinhardt, S. K. The M5 simulator: Modeling networked systems. IEEE Micro 26, 4 (July--Aug. 2006), 52--60. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Cazorla, F., Ramirez, A., Valero, M., and Fernandez, E. Dynamically controlled resource allocation in SMT processors. In Proc. 37th International Symposium on Microarchitecture MICRO-37 2004 (2004), pp. 171--182. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Culler, D. E., Singh, J. P., and Gupta, A. Parallel Computer Architecture: A Hardware/Software Approach. Morgan Kaufmann, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Emer, J., Ahuja, P., Borch, E., Klauser, A., Luk, C.-K., Manne, S., Mukherjee, S. S., Patil, H., Wallace, S., Binkert, N., Espasa, R., and Juan, T. Asim: a performance model framework. Computer 35, 2 (Feb. 2002), 68--76. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. J. Renau, B. Fraguela, J. T. W. L. M. P. L. C. S. S. P. S. K. S., and Montesinos, P. SESC simulator. http://sesc.sourceforge.net (2006).Google ScholarGoogle Scholar
  10. Levy, H., Lo, J. L., Emer, J., Stamm, R., Eggers, S., and Tullsen, D. Exploiting choice: Instruction fetch and issue on an implementable simultaneous multithreading processor. In Proc. 23rd Annual International Symposium on Computer Architecture (1996), pp. 191--191. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Luk, C.-K., Cohn, R., Muth, R., Patil, H., Klauser, A., Lowney, G., Wallace, S., Reddi, V. J., and Hazelwood, K. Pin: building customized program analysis tools with dynamic instrumentation. In PLDI '05: Proceedings of the 2005 ACM SIGPLAN conference on Programming language design and implementation (New York, NY, USA, 2005), ACM, pp. 190--200. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Magnusson, P. S., Christensson, M., Eskilson, J., Forsgren, D., Hallberg, G., Hogberg, J., Larsson, F., Moestedt, A., and Werner, B. Simics: A full system simulation platform. Computer 35, 2 (Feb. 2002), 50--58. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Martin, M. M. K., Sorin, D. J., Beckmann, B. M., Marty, M. R., Xu, M., Alameldeen, A. R., Moore, K. E., Hill, M. D., and Wood, D. A. Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset. SIGARCH Comput. Archit. News 33, 4 (2005), 92--99. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Nethercote, N., and Seward, J. Valgrind: a framework for heavyweight dynamic binary instrumentation. SIGPLAN Not. 42, 6 (2007), 89--100. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Seiler, L., Carmean, D., Sprangle, E., Forsyth, T., Dubey, P., Junkins, S., Lake, A., Cavin, R., Espasa, R., Grochowski, E., Juan, T., Abrash, M., Sugerman, J., and Hanrahan, P. Larrabee: A many-core X86 architecture for visual computing. IEEE Micro 29, 1 (2009), 10--21. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Sharkey, J. M-sim: A flexible, multi-threaded simulation environment. Tech. Rep. Tech. Report CS-TR-05-DP1, Department of Computer Science, SUNY Binghamton, 2005.Google ScholarGoogle Scholar
  17. Wenisch, T. F., Wunderlich, R. E., Ferdman, M., Ailamaki, A., Falsafi, B., and Hoe, J. C. Simflex: Statistical sampling of computer system simulation. IEEE Micro 26, 4 (July--Aug. 2006), 18--31. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Yourst, M. PTLsim: A cycle accurate full system X86-64 microarchitectural simulator. In Proc. ISPASS (2007).Google ScholarGoogle ScholarCross RefCross Ref
  19. Zeng, H., Yourst, M., Ghose, K., and Ponomarev, D. MPTLsim: a simulator for X86-64 multicore architecture with coherent caches. In Proc. of the dasCMP Workshop (2008)Google ScholarGoogle Scholar

Index Terms

  1. MPTLsim: a simulator for X86 multicore processors

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      DAC '09: Proceedings of the 46th Annual Design Automation Conference
      July 2009
      994 pages
      ISBN:9781605584973
      DOI:10.1145/1629911

      Copyright © 2009 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 26 July 2009

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

      Upcoming Conference

      DAC '24
      61st ACM/IEEE Design Automation Conference
      June 23 - 27, 2024
      San Francisco , CA , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader