skip to main content
10.1145/1950365.1950402acmconferencesArticle/Chapter ViewAbstractPublication PagesasplosConference Proceedingsconference-collections
research-article

Looking back on the language and hardware revolutions: measured power, performance, and scaling

Published:05 March 2011Publication History

ABSTRACT

This paper reports and analyzes measured chip power and performance on five process technology generations executing 61 diverse benchmarks with a rigorous methodology. We measure representative Intel IA32 processors with technologies ranging from 130nm to 32nm while they execute sequential and parallel benchmarks written in native and managed languages. During this period, hardware and software changed substantially: (1) hardware vendors delivered chip multiprocessors instead of uniprocessors, and independently (2) software developers increasingly chose managed languages instead of native languages. This quantitative data reveals the extent of some known and previously unobserved hardware and software trends. Two themes emerge.

(I) Workload: The power, performance, and energy trends of native workloads do not approximate managed workloads. For example, (a) the SPEC CPU2006 native benchmarks on the i7 (45) and i5 (32) draw significantly less power than managed or scalable native benchmarks; and (b) managed runtimes exploit parallelism even when running single-threaded applications. The results recommend architects always include native and managed workloads when designing and evaluating energy efficient hardware.

(II) Architecture: Clock scaling, microarchitecture, simultaneous multithreading, and chip multiprocessors each elicit a huge variety of power, performance, and energy responses. This variety and the difficulty of obtaining power measurements recommends exposing on-chip power meters and when possible structure specific power meters for cores, caches, and other structures. Just as hardware event counters provide a quantitative grounding for performance innovations, power meters are necessary for optimizing energy.

Skip Supplemental Material Section

Supplemental Material

References

  1. O. Azizi, A. Mahesri, B. C. Lee, S. J. Patel, and M. Horowitz. Energyperformance tradeoffs in processor architecture and circuit design: A marginal cost analysis. In ACM/IEEE International Symposium on Computer Architecture, pages 26--36, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. C. Bienia, S. Kumar, J. P. Singh, and K. Li. The PARSEC benchmark suite: Characterization and architectural implications. Technical Report TR-811-08, Princeton University, January 2008.Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. W. L. Bircher and L. K. John. Analysis of dynamic power management on multi-core processors. In ACM International Conference on Supercomputing, pages 327--338, Island of Kos, Greece, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. S. M. Blackburn, R. Garner, C. Hoffman, A. M. Khan, K. S. McKinley, R. Bentzur, A. Diwan, D. Feinberg, D. Frampton, S. Z. Guyer, M. Hirzel, A. Hosking, M. Jump, H. Lee, J. E. B. Moss, A. Phansalkar, D. Stefanović, T. VanDrunen, D. von Dincklage, and B. Wiedermann. The DaCapo benchmarks: Java benchmarking development and analysis. In ACM SIGPLAN Conference on Object-Oriented Programing, Systems, Languages, and Applications, pages 169--190, Oct. 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. S. M. Blackburn, K. S. McKinley, R. Garner, C. Hoffman, A. M. Khan, R. Bentzur, A. Diwan, D. Feinberg, D. Frampton, S. Z. Guyer, M. Hirzel, A. Hosking, M. Jump, H. Lee, J. E. B. Moss, A. Phansalkar, D. Stefanović, T. VanDrunen, D. von Dincklage, and B. Wiedermann. Wake up and smell the coffee: Evaluation methodologies for the 21st century. Communications of the ACM, 51(8):83--89, Aug. 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. M. Bohr. A 30 year retrospective on Dennard's MOSFET scaling paper. IEEE SSCS Newsletter, pages 11--13, Winter 2007.Google ScholarGoogle ScholarCross RefCross Ref
  7. K. Chakraborty. Over-provisioned Multicore Systems. PhD thesis, University of Wisconsin-Madison, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. J. Charles, P. Jassi, N. S. Ananth, A. Sadat, and A. Fedorova. Evaluation of the Intel!R CoreTM i7 Turbo Boost feature. In IEEE International Symposium on Workload Characterization, pages 188--197, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. J. S. Emer and D. W. Clark. A characterization of processor performance in the VAX-11/780. In ACM/IEEE International Symposium on Computer Architecture, pages 301--310, 1984. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. J. S. Emer and D. W. Clark. Retrospective: A characterization of processor performance in the VAX-11/780. ACM 25 Years ISCA: Retrospectives and Reprints 1998, pages 274--283, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. H. Esmaeilzadeh, S. M. Blackburn, X. Yang, and K. S. McKinley. Power and performance of native and Java benchmarks on 130nm to 32nm process technologies. In Sixth Annual Workshop on Modeling, Benchmarking, and Simulation, June 2010.Google ScholarGoogle Scholar
  12. H. Esmaeilzadeh, T. Cao, X. Yang, S. M. Blackburn, and K. S. McKinley. Source materials in ACM Digital Library for: Looking back on the language and hardware revolutions: Measured power, performance, and scaling. In International Conference on Architectural Support for Programming Languages and Operating Systems, Mar. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. X. Fan, W.-D. Weber, and L. A. Barroso. Power provisioning for a warehouse-sized computer. In ACM/IEEE International Symposium on Computer Architecture, pages 13--23, San Diego, CA, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. A. Georges, D. Buytaert, and L. Eeckhout. Statistically rigorous Java performance evaluation. In ACM Conference on Object-Oriented Programming Systems, Languages, and Applications, pages 57--76, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. J. Ha, M. Gustafsson, S. M. Blackburn, and K. S. McKinley. Microarchitectural Characterization of Production JVMs and Java Workloads. In IBM CAS Workshop, Feb. 2008.Google ScholarGoogle Scholar
  16. M. Hempstead, G.-Y. Wei, and D. Brooks. Navigo: An early-stage model to study power-contrained architectures and specialization. In Workshop on Modeling, Benchmarking, and Simulations, June 2009.Google ScholarGoogle Scholar
  17. M. Horowitz, E. Alon, D. Patil, S. Naffziger, R. Kumar, and K. Bernstein. Scaling, power, and the future of CMOS. In Proceedings of International Electron Devices Meeting, pages 7--15, December 2005.Google ScholarGoogle Scholar
  18. Intel Corporation. Intel Hyper-Threading Technology, 2011. URL http://www.intel.com/technology/platform-technology/hyper-threading.Google ScholarGoogle Scholar
  19. Intel Corporation. Intel Turbo Boost Technology in Intel Core Microarchitecture (Nehalem) Based Processors. White Paper, Nov. 2008.Google ScholarGoogle Scholar
  20. C. Isci and M. Martonosi. Runtime power monitoring in high-end processors: Methodology and empirical data. In IEEE International Symposium on Microarchitecture, pages 93--104, December 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. ITRSWorking Group. International technology roadmap for semiconductors, 2011. URL http://www.itrs.net.Google ScholarGoogle Scholar
  22. E. Le Sueur and G. Heiser. Dynamic voltage and frequency scaling: The laws of diminishing returns. In Workshop on Power Aware Computing and Systems, Vancouver, Canada, Oct. 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. S. H. Li. Linux kernel bug 5471, 2011. URL https://bugzilla.kernel.org/show bug.cgi?id=5471.Google ScholarGoogle Scholar
  24. Y. Li, B. Lee, D. Brooks, Z. Hu, and K. Skadron. CMP design space exploration subject to physical contraints. In International Symposium on High Performance Computer Architecture, pages 17--28, Feb 2006.Google ScholarGoogle Scholar
  25. Nanoscale Integration and Modeling (NIMO) Group. Predictive technology model, 2011. URL http://ptm.asu.edu.Google ScholarGoogle Scholar
  26. V. Pallipadi and A. Starikovskiy. The ondemand governor: Past, present and future. In Proceedings of Linux Symposium, volume 2, pages 223--238, July 2006.Google ScholarGoogle Scholar
  27. Y. Patt. Future microprocessors: Multi-core, mega-nonsense, and what we must do differently moving forward. Distinguished Lecture at UIUC, (April 2010), 2011. URL http://www.parallel.illinois.edu/presentations/ 2010 04 30 Patt Slides.pdf.Google ScholarGoogle Scholar
  28. R. Sasanka, S. V. Adve, Y.-K. Chen, and E. Debes. The energy efficiency of CMP vs. SMT for multimedia workloads. In ACM International Conference on Supercomputing, pages 196--206, Malo, France, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. R. Singhal. Inside Intel next generation Nehalem microarchitecture. Intel Developer Forum (IDF) presentation (August 2008), 2011. URL http://software.intel.com/file/18976.Google ScholarGoogle Scholar
  30. Standard Performance Evaluation Corp. SPEC Benchmarks, 2010. URL http://www.spec.org.Google ScholarGoogle Scholar
  31. Standard Performance Evaluation Corporation. SPEC CPU2006 benchmark descriptions. ACM SIGARCH Newsletter, Computer Architecture News, 34(4), September 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. The DaCapo Research Group. The DaCapo Benchmarks, beta-2006-08, 2006. URL http://www.-dacapo-bench.-org.Google ScholarGoogle Scholar
  33. TIOBE Software. TIOBE Programming Community Index for January 2011, 2011. URL http://www.tiobe.com.Google ScholarGoogle Scholar
  34. D. M. Tullsen, S. J. Eggers, and H. M. Levy. Simultaneous multithreading: Maximizing on-chip parallelism. In ACM/IEEE International Symposium on Computer Architecture, pages 392--403, Santa Margherita Ligure, Italy, June 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Looking back on the language and hardware revolutions: measured power, performance, and scaling

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ASPLOS XVI: Proceedings of the sixteenth international conference on Architectural support for programming languages and operating systems
        March 2011
        432 pages
        ISBN:9781450302661
        DOI:10.1145/1950365
        • cover image ACM SIGPLAN Notices
          ACM SIGPLAN Notices  Volume 46, Issue 3
          ASPLOS '11
          March 2011
          407 pages
          ISSN:0362-1340
          EISSN:1558-1160
          DOI:10.1145/1961296
          Issue’s Table of Contents
        • cover image ACM SIGARCH Computer Architecture News
          ACM SIGARCH Computer Architecture News  Volume 39, Issue 1
          ASPLOS '11
          March 2011
          407 pages
          ISSN:0163-5964
          DOI:10.1145/1961295
          Issue’s Table of Contents

        Copyright © 2011 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 5 March 2011

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate535of2,713submissions,20%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader